Инструкция VHDL требует: Не 64-битный режим - PullRequest
0 голосов
/ 30 мая 2018

Я использую ghdl (компилятор с открытым исходным кодом для VHDL) на Mac.Я пишу этот простой компонент половинного сумматора:

library ieee;
use ieee.std_logic_1164.all;

entity ha is 

    port( 
        a:  in  std_logic;
        b:  in  std_logic;
        o:  out     std_logic;
        c:  out     std_logic
    );

end ha;

architecture behave of ha is 
begin

    o <= a xor b;
    c <= a and b;

end behave;

, когда я хочу проанализировать компонент ha.vhdl (используя ключ -a) с помощью команды ниже, я получаю много следующих ошибок:

команда: ghdl -a ha.vhdl

ошибки:

ha.s:90:2: error: instruction requires: Not 64-bit mode

pushl %ebp

ha.s:103:2: error: instruction requires: Not 64-bit mode

pushl %edx

.,.

...