Вопросы с тегом Xilinx ISE- - PullRequest

Вопросы с тегом Xilinx ISE-

0 голосов
1 ответ

Привет. Все, что я хочу генерировать два сигнала или сигнала (скажем, сигнал mode-1 и mode-2) через...

DIWAKAR MISHRA / 25 сентября 2019
0 голосов
0 ответов

Я использую скрипт xtclsh для управления синтезом изображения FPGA с помощью цепочки инструментов...

Cactus / 22 июня 2019
0 голосов
0 ответов

Я использую Xilinx в Windows 10 и пытаюсь смоделировать testbench, но не могу этого сделать

mumairanwar / 30 мая 2019
0 голосов
1 ответ

Мне было любопытно, кому-нибудь повезло собрать всю библиотеку Xilinx ISE unisims для симулятора...

Bill Moore / 10 мая 2019
0 голосов
0 ответов

Недавно я разработал свой собственный (очень базовый) процессор RISC, и у меня есть стартовый...

John the Almost-Genius / 12 марта 2019
0 голосов
0 ответов

Недавно у меня начались проблемы с ISE.ISE теперь отказывается генерировать поток битов для моего...

Eli Lorden / 23 февраля 2019
0 голосов
1 ответ

У меня проблема с заданием, и я не могу ее решить.В этой части кода: KS1 <= regA when...

Destroyer / 13 февраля 2019
0 голосов
3 ответов

Я хочу реализовать протокол с открытым коллектором.Когда я пытаюсь установить для порта типа inout...

Reflection / 04 февраля 2019
0 голосов
2 ответов

В Xilinx ISE (используя язык VHDL) я определил эти сигналы: signal counter : integer range 0 to...

Reflection / 28 января 2019
0 голосов
1 ответ

Я написал простой код счетчика в verilog (xilix 14.2).Код работает правильно в isim, но я не могу...

Vainatheyi Chepuri / 07 декабря 2018
0 голосов
1 ответ

Мы разрабатываем систему с пользовательским процессором, Microblaze и некоторыми периферийными...

dtypist / 06 декабря 2018
0 голосов
2 ответов

Мы разрабатываем систему с пользовательским процессором, Microblaze и некоторыми периферийными...

dtypist / 26 ноября 2018
0 голосов
1 ответ

Мне нужно инициализировать массивы в сгенерированных экземплярах через блок генерации в Verilog.Я...

yildizabdullah / 16 ноября 2018
0 голосов
0 ответов

Мне нужно настроить некоторые выходы в VIO, используя Tcl.В Vivado я могу сделать это, просто введя...

马邦德 / 02 октября 2018
0 голосов
1 ответ

Пожалуйста, помогите мне понять, когда порты могут использоваться в качестве сигналов в VHDL. Я...

Nigel Davies / 27 сентября 2018
0 голосов
0 ответов

Раньше мои проекты ISE были XC6SLX150T, но мне пришлось изменить его на XC6SLX150. Поэтому я...

JaeWon Kim / 04 сентября 2018
0 голосов
1 ответ

У меня есть Xilinx Spartan6 и следующий код VHDL: library ieee; use ieee.std_logic_1164.all; use...

Dcbnvn / 09 июня 2018
0 голосов
0 ответов

Я установил Xilinx 14.7, но не могу открыть его диспетчер конфигурации даже после нескольких...

Mary / 01 июня 2018
0 голосов
1 ответ

В настоящее время я делаю проект, связанный с протоколом связи между двумя ПЛИС. Когда я читаю...

Tai Chau / 27 мая 2018
0 голосов
1 ответ

Сейчас я делаю проект, связанный с MGT (Multi-Gigabit Transceiver) GTP. Поскольку я новичок в языке...

Tai Chau / 23 мая 2018
0 голосов
1 ответ

Я получаю эту ошибку в своем коде, я думаю, что эта ошибка возникает, когда мы пытаемся присвоить...

Momil Ijaz / 05 мая 2018
Для получения более полной информации посмотрите в списке вопросов или в популярных тегах.
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...