Вопросы с тегом ghdl - PullRequest

Вопросы с тегом ghdl

4 голосов
3 ответов

Я пытаюсь вывести внутренние сигналы из симуляции, выполняемой либо modelsim, либо ghdl.Все...

Tarek Eldeeb / 11 марта 2012
0 голосов
2 ответов

Я не знаю, как это сделать со структурным программированием ... "Двоичный счетчик (с сигналом...

Francesco Bonizzi / 07 января 2012
1 голос
1 ответ

Я использую ghdl + gtkwave для изучения в Windows 7. Я запускаю симуляции, вызывая какую-то...

Francesco Bonizzi / 06 января 2012
1 голос
1 ответ

Я пытался создать полный сумматор, используя Mux8: 3 ... но он не запускается!Когда я запускаю его,...

Francesco Bonizzi / 02 января 2012
0 голосов
2 ответов

Мой первый вопрос: Интересно, как вы компилируете свой VHDL-файл в ghdl? В c / c ++ мы используем...

Miguel A. Friginal / 08 августа 2011
2 голосов
2 ответов

У меня есть программа VHDL, и я не могу разработать ее с помощью GHDL, потому что объект для...

nulleight / 08 июня 2011
0 голосов
1 ответ

-----------begin part1.vhdl--------------------- library ieee; use ieee.std_logic_1164.all; entity...

Drew / 15 марта 2011
0 голосов
3 ответов

Я установил ghdl в Ubuntu 10.10 (используя apt-get из репозитория) и использовал пример hello_world...

Neel Mehta / 24 января 2011
0 голосов
2 ответов

В windows я знаю, что исполняемый файл не создается командой "ghdl -e что-то";в линуксе он создан.Я...

Alock Leo / 24 января 2011
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...