Невозможно выполнить / запустить любой код VHDL, используя GHDL - PullRequest
0 голосов
/ 24 января 2011

Я установил ghdl в Ubuntu 10.10 (используя apt-get из репозитория) и использовал пример hello_world, доступный в руководстве по ghdl. Я могу анализировать (-a) и разрабатывать (-e) успешно, но когда я пытаюсь запустить / выполнить (-r), я получаю следующую ошибку:
/ usr / lib / ghdl / bin / ghdl: ошибка компиляции

Чтобы прояснить мою проблему, я упомянул код VHDL в конце и последовательность команд, которые я следую ниже:

$ ghdl -a hello.vhdl
$ ghdl -e hello_world
$ ./hello_world
bash: ./hello_world: в доступе отказано
$ ghdl -r hello_world
/ usr / lib / ghdl / bin / ghdl: ошибка компиляции

Я тоже пытался работать с правами root, но безуспешно. Разрешения идеальны, бит выполнения установлен. Все вроде бы хорошо, но все равно не работает. Я даже пытался переустановить пакет ghdl.

Может кто-нибудь сказать мне, что может быть источником проблемы?

Заранее спасибо.

hello.vhdl (Vhdl code)

- программа Hello world.
использовать std.textio.all; - Импортирует стандартный пакет textio.
- Определяет объект проектирования без каких-либо портов.
сущность hello_world равна
end hello_world;
Архитектурное поведение hello_world равно
начать
процесс
переменная l: строка;
начать
write (l, String '(«Привет, мир!»));
писелин (выход, л);
ждать;
конец процесса;
конечное поведение;

Ответы [ 3 ]

2 голосов
/ 25 января 2011

В вашей файловой системе смонтирован noexec? Что mount говорит о файловой системе, что ваша рабочая область одна?

1 голос
/ 25 января 2011

Я могу воспроизвести проблему, если бит выполнения не установлен.

Вы абсолютно уверены, что нет проблем с разрешениями?

0 голосов
/ 23 июня 2014

Просто возникла та же проблема, и мое решение, которое, казалось, решило все, состояло в том, чтобы chmod в папке / usr / local / bin / ghdl с модом 777.

...