GHDL и VHDL - ввод для исполняемого файла - PullRequest
0 голосов
/ 24 января 2011

В windows я знаю, что исполняемый файл не создается командой "ghdl -e что-то";в линуксе он создан.Я должен дать входной файл для моего исполняемого файла.Если бы я был в Linux, я мог бы сделать это с помощью ./something

Ответы [ 2 ]

2 голосов
/ 03 февраля 2011

В Windows исполняемый файл не создается - вы должны использовать

ghdl -r work.foo

, как описано в прекрасном руководстве

1 голос
/ 24 июля 2013

Просто добавлю, потому что я потерял время с этим: (в Windows)

ghdl -a "c:\superabsolutepath\test.vhdl" worked
ghdl -r my_test  resulted in: cannot load entity my_test

однако, ghdl -d отображал все правильно (файл vhdl с абсолютным путем)

-> не использовать абсолютные пути, по умолчанию папка пользователя используется «C: \ Users \ Lode», когда я помещаю свой VHDL-файл в эту папку (и начинаю с команды

ghdl -a test.vhdl
ghdl -r my_test

, всеработает, fjoeew

...