Вопросы с тегом системы Verilog - PullRequest

Вопросы с тегом системы Verilog

0 голосов
1 ответ

Пожалуйста, смотрите это для лучшего разъяснения моего кода Что происходит, когда мы объявляем...

ANeeL / 28 сентября 2019
0 голосов
1 ответ

У меня следующий вопрос Реализация схемы, которая сдвигает 32-битный вектор на два влево и...

Lukali / 27 сентября 2019
0 голосов
3 ответов

Я пытаюсь создать экземпляр массива параметров, например, module top(); parameter array_size = 10;...

Андрей Солодовников / 26 сентября 2019
0 голосов
0 ответов

Я использую IP-адрес мастера синхронизации для генерации трех разных часов, начиная с тактовых...

Roronoa / 26 сентября 2019
0 голосов
1 ответ

Есть ли способ ограничения бинов, сгенерированных в покрытии переходов, в точках покрытия System...

user234461 / 25 сентября 2019
0 голосов
1 ответ

Я хочу записать $ финиш в uvm_component.Я имею в виду, что мой uvm_component должен выполнять...

Murali Krishna / 25 сентября 2019
1 голос
0 ответов

Я хочу использовать распакованный массив параметров для настройки моего модуля. Я попытался...

Vexim / 22 сентября 2019
0 голосов
1 ответ

Я разрабатываю агент UVM для протокола, в котором транзакции rx и tx передаются по одним и тем же...

VerificationEng / 22 сентября 2019
1 голос
1 ответ

Предположим, у меня есть упакованная структура: typedef struct packed { logic a; logic [7:0] b; bit...

random / 19 сентября 2019
1 голос
2 ответов

Есть ли способ узнать в моей верхней части тестового стенда о текущей фазе иерархии UVM ?.Поскольку...

Sudhir Saligrama / 11 июля 2019
0 голосов
3 ответов

Я хочу проверить в своем проекте, что, когда сигнал b получен, тогда сигнал a должен был быть...

Viktorinox / 10 июля 2019
1 голос
1 ответ

Я создаю UVM VIP, который может переключать полярность часов.В интерфейсе используется тактовый...

AldoT / 09 июля 2019
0 голосов
1 ответ

Я разработал тестовую среду для модели памяти FIFO в SystemVerilog, в которой я сталкиваюсь с этой...

Shraddha Devaiya / 09 июля 2019
0 голосов
1 ответ

Я пытался написать код симуляции системы Verilog. Однако, когда я попытался записать какое-то...

Julien / 08 июля 2019
0 голосов
1 ответ

Попытка сделать этот фрагмент существующего кода более компактным. if(argA) { struct_A_s addr;...

jcp / 02 июля 2019
0 голосов
1 ответ

У меня есть конкретный вопрос и просьба о более общих указаниях. У меня вопрос, какой самый чистый...

Pedro_Uno / 01 июля 2019
0 голосов
1 ответ

Предположим, есть матрица 2d пикселей размером 1920 x 1080. Я хочу ограничить матрицу таким образом...

Sparsh Gupta / 01 июля 2019
1 голос
1 ответ

Для сброса отдельных агентов тестовой среды я пытаюсь перенести их в отдельные домены.Однако я...

0 голосов
1 ответ

Я устанавливаю новый код UVM и хочу сделать код OOMR в своем коде uvm. Нужно ли избегать концепции...

al01 / 26 июня 2019
1 голос
2 ответов

Я новичок в UVM и пытаюсь проверить схему памяти, в которой я пытаюсь выполнить последовательность...

Grace90 / 19 июня 2019
0 голосов
1 ответ

Можно ли привести структуру SystemVerilog к какому-либо стертому указателю, например void *? Мне...

random / 19 июня 2019
0 голосов
1 ответ

Раздел 12.2.8 IEEE UVM говорит о uvm_tlm_fifo классах.Мне было интересно, зачем нам нужны экспорты...

justrajdeep / 18 июня 2019
1 голос
1 ответ

Глядя на базовые классы uvm, я заметил, что метод uvm_sequence_item get_type_name не определен как...

Viktorinox / 14 июня 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...