Можно ли использовать упакованные структуры с DPI - PullRequest
1 голос
/ 19 сентября 2019

Предположим, у меня есть упакованная структура:

typedef struct packed {
   logic a;
   logic [7:0] b;
   bit  [7:0] c;
   logic [7:0] [31:0] d;
} my_struct;

И я хочу передать ее в функцию C:

import "DPI" context function int my_dpi_function (input my_struct data);

Как читать значения на стороне C?:

int my_dpi_function (void* data) 
{  
    ... ?

    return 0;
}

1 Ответ

2 голосов
/ 20 сентября 2019

Тип, который вам нужен, определен в заголовке svdpi.h:

svLogicVecVal

Итак, вам нужно что-то вроде:

int my_dpi_function (svLogicVecVal* data) 
{  
    ... 
    return 0;
}

svLogicVecVal само по себе является структурой.Он имеет два поля - aval и bval (или иногда, например, в Cadence, a и b).С svdpi.h:

typedef struct t_vpi_vecval {
#ifdef P1800_2005_VECVAL
    uint32_t a;
    uint32_t b;
#else
    uint32_t aval;
    uint32_t bval;
#endif
} s_vpi_vecval, *p_vpi_vecval;
#endif

/* (a chunk of) packed logic array */
typedef s_vpi_vecval svLogicVecVal;

Поля aval и bval кодируются таким образом (так называемое «каноническое представление»):

bval aval | 4-state verilog value
----------|----------------------
  0    0  |   0
  0    1  |   1
  1    0  |   X
  1    1  |   Z

Таким образом, вы можете получить доступ к полям aval и bval в вашем C. Оказывается, что для векторов шире, чем 32-битные, наиболее значимое 32-битное слово находится по самому высокому адресу указателя.


https://www.edaplayground.com/x/2k33

SV

module test;

  typedef struct packed {
    logic a;
    logic [7:0] b;
    bit  [7:0] c;
    logic [7:0] [31:0] d;
  } my_struct;

  import "DPI-C" context function int my_dpi_function (logic [272:0] data);

  initial
    begin
      automatic my_struct data = '{1'b0,8'hAA,8'h55,256'h0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF};
      $display("%h",data);
      my_dpi_function (data);
    end

endmodule

C ++

#include <iostream>
#include <iomanip>
#include <svdpi.h>

using namespace std;

extern "C" int my_dpi_function (svLogicVecVal* data) {
    data+=8;
    cout << "# C++: ";
    for (int i=0; i<9; i++)
      cout << std::hex << std::setw(8) << std::setfill('0') << (data--)->aval;
    cout << "\n";
  return 0;
}
...