Вопросы с тегом система-Verilog-утверждение - PullRequest

Вопросы с тегом система-Verilog-утверждение

0 голосов
0 ответов

В моем файле ckt.sv есть мой модуль ckt (который я должен синтезировать) и мой модуль testbench...

adi_226 / 29 сентября 2019
0 голосов
3 ответов

Я хочу проверить в своем проекте, что, когда сигнал b получен, тогда сигнал a должен был быть...

Viktorinox / 10 июля 2019
0 голосов
1 ответ

Предположим, у меня есть следующее утверждение. Теперь я хочу, чтобы сигнал А оставался стабильным...

the freethinker / 26 июня 2019
0 голосов
1 ответ

Блокирует ли управление событиями Verilog выполнение процедуры до наступления события? Рассмотрим...

user3124390 / 23 июня 2019
0 голосов
1 ответ

У меня есть массив интерфейсов.Я знаю, что массив экземпляров и интерфейсов не похож на обычные...

the freethinker / 19 июня 2019
0 голосов
1 ответ

В стандарте Verilog четко указано, что между проволокой и трипом нет функциональной разницы

user3124390 / 12 июня 2019
0 голосов
2 ответов

Рассмотрим приведенный ниже пример: module test; reg a; initial begin a = 1'b0; a <=...

user3124390 / 09 июня 2019
0 голосов
0 ответов

У меня есть следующее свойство в systemverilog: property rule_1(trig, prop, clk, rst=1'b0);...

user9870 / 05 июня 2019
0 голосов
1 ответ

Синтаксис был верным, я перепутал два цикла, не выдавая ничего правильного, когда ожидал...

dbirdi / 04 июня 2019
0 голосов
0 ответов

Я новичок в SVA.Я пытаюсь привязать контролер к модулю dut внутри модуля.Законно ли это делать?...

subh / 25 апреля 2019
0 голосов
0 ответов

Допустим, у меня есть три сигнала: вход clk_in, вход rst_b, выход clk_out.Как я могу получить...

user9870 / 13 апреля 2019
0 голосов
1 ответ

У меня есть следующее свойство SVA: $rose(hresetn) |-> ( ##[0:$] $rose(signal_a) ##[0:2]...

El_Gahaf / 22 ноября 2018
0 голосов
1 ответ

Я хочу проверить, является ли сигнал «a» высоким до тех пор, пока не будет подтвержден сигнал «b»....

cryptoKay / 23 октября 2018
0 голосов
1 ответ

Я пытаюсь проверить дизайн, написанный на VHDL, используя утверждения SystemVerilog.однако у меня...

mariam / 16 октября 2018
0 голосов
1 ответ

У меня продолжает возникать эта проблема, когда я пытаюсь назначить выходные значения в всегда...

Jeffrey Tsaw / 09 октября 2018
0 голосов
1 ответ

Я новичок в SVA.У меня есть вопрос о причастности SVA. 1: sequence s1; 2: start ##[1:$] !start; 3:...

ChipDesigner / 08 октября 2018
0 голосов
2 ответов

До сих пор я изучал verilog HDL reg [7: 0] a [0: 3] означает массив из 4 a's , и каждый a имеет 8...

Miguel A. Friginal / 25 августа 2018
0 голосов
1 ответ

Я столкнулся с проблемой при попытке написать это утверждение.Я пытался утверждать сценарий, что...

Shuaiyu Jiang / 30 мая 2018
Для получения более полной информации посмотрите в списке вопросов или в популярных тегах.
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...