Вопросы с тегом системы Verilog - PullRequest

Вопросы с тегом системы Verilog

0 голосов
1 ответ

Я бесполезно пытался справиться с постоянным предупреждением, которое я получаю со следующим...

El_Gahaf / 11 июня 2019
1 голос
0 ответов

Я пытаюсь понять, как работает следующий код, но изо всех сил пытаюсь собрать его в своей голове....

Nithin Vedamuthu / 09 июня 2019
0 голосов
1 ответ

Я пытаюсь написать функцию, которая принимает аргумент, который является дескриптором объекта const

hjuston / 08 июня 2019
0 голосов
1 ответ

Я пытаюсь запустить некоторый код с веб-сайта chipverify в Modelsim, и он не работает - и я понятия...

hjuston / 05 июня 2019
0 голосов
1 ответ

Я пытаюсь запустить некоторый код с веб-сайта chipverify в Modelsim, и мой вывод отличается от...

hjuston / 04 июня 2019
0 голосов
1 ответ

Синтаксис был верным, я перепутал два цикла, не выдавая ничего правильного, когда ожидал...

dbirdi / 04 июня 2019
0 голосов
0 ответов

Я хотел бы проверить DUT, который по сути является FSM, благодаря средствам тестирования...

extherion / 04 июня 2019
0 голосов
1 ответ

использование опорных параметров не может быть использовано внутри fork join any / none в системном...

Maruthu Pandi / 04 июня 2019
0 голосов
2 ответов

Я хочу создать двумерный массив постоянных значений в виде синтезируемого кода Verilog. Это для...

Olupo / 04 июня 2019
0 голосов
1 ответ

Я собираюсь протестировать сложный модуль, который имеет интерфейсы axi4-stream и apb в качестве...

0 голосов
0 ответов

У меня есть абстрактный класс с четырьмя дочерними классами. В Modelsim (SE 10.5b) я хочу добавить...

Tharaqon / 27 мая 2019
0 голосов
2 ответов

Я пытаюсь использовать параметризованный класс внутри другого класса, который я должен...

Salim / 21 мая 2019
0 голосов
1 ответ

Я создаю конечный автомат с неявным путем к данным и получаю три ошибки, которые мне не удалось...

James Dean / 20 мая 2019
0 голосов
1 ответ

У меня есть дизайн, который требует паузы в трафике данных, чтобы войти в режим низкого...

Pete / 17 мая 2019
0 голосов
1 ответ

У меня есть много (порт) к одному (экспорт) ситуация с моими агентами и табло. Я сомневаюсь, как я...

the freethinker / 16 мая 2019
1 голос
1 ответ

У меня есть класс SystemVerilog, который инкапсулирует класс C ++, используя chandle и DPI. Я хочу...

coderoo / 16 мая 2019
0 голосов
1 ответ

Я пытаюсь изучить методы сокращения массива в системном verilog.Написал ниже модуль: module main;...

thorondor1990 / 16 мая 2019
0 голосов
1 ответ

У меня есть несколько «уникальных» модулей, которые имеют общие поля.Я хотел бы создать модуль,...

Arun D'souza / 13 мая 2019
1 голос
1 ответ

есть ли способ добавить утверждение для примитива SystemVerilog или только в модуле (ячейке),...

Meir / 12 мая 2019
0 голосов
1 ответ

Я пытаюсь изучить UVM в SystemVerilog.Я понимаю саму идеологию UVM, но мне трудно написать рабочий...

2 голосов
1 ответ

IEEE Std 1800 ™ -2012, раздел 4.9.5, гласит: «Обработка коммутатора должна учитывать все устройства...

Saurabh / 04 мая 2019
0 голосов
1 ответ

Я пытаюсь работать с функциональным покрытием в первый раз, поэтому я создал файл mem_cov.sv, в...

Grace90 / 21 апреля 2019
0 голосов
1 ответ

Я хочу написать покрытие перехода на перечисление.Одна из частей этого перехода - очередь...

Sparsh Gupta / 19 апреля 2019
0 голосов
1 ответ

У меня есть простой модуль: module always_comb_error_ex ( input logic a, b, output logic y, z ); //...

delkov / 16 апреля 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...