Вопросы с тегом системы Verilog - PullRequest

Вопросы с тегом системы Verilog

0 голосов
1 ответ

Привет! Я проектирую 32-битный микроконтроллер ISA RISCV, и я организовал ПЗУ в виде массивов по 8...

EduardoG / 23 декабря 2018
0 голосов
1 ответ

Этот код используется для включения светодиода, если переключатель включен.Это верхний модуль....

Ben Bitdiddle / 23 декабря 2018
0 голосов
1 ответ

Я столкнулся с некоторыми внутренними проблемами при использовании интерфейсов systemverilog, когда...

Peter / 13 декабря 2018
0 голосов
2 ответов

Кто-нибудь знает об этих 2 функциях?Должен ли вывод 'F'.atohex () быть 0x16 или 0x46...

hk56740 / 12 декабря 2018
0 голосов
2 ответов

be_nl_i и xbc_i являются интерфейсными модулями.Пример кода A со списком имен экземпляров...

DarinT / 05 декабря 2018
0 голосов
2 ответов

У меня есть система, у которой есть некоторые тайм-ауты, которые имеют порядок секунд, для целей...

Taako / 05 декабря 2018
0 голосов
1 ответ

Можно ли исключить некоторые точки покрытия из определенной группы на основе параметра? covergroup...

Bhushan Mahajan / 04 декабря 2018
0 голосов
1 ответ

Verilog 2K поддерживает компиляцию модулей с различной реализацией с использованием средства...

Sanjeev Singh / 04 декабря 2018
0 голосов
1 ответ

В SystemVerilog, законно ли создавать экземпляр модуля и передавать '1 в качестве значения в списке...

nachum / 02 декабря 2018
0 голосов
1 ответ

Я использую макросы файлового ввода-вывода для SV, и я хочу распечатать номер проанализированной...

El_Gahaf / 29 ноября 2018
0 голосов
1 ответ

У меня есть система с конфигурационным файлом, который читается в тестовой среде.Файл конфигурации...

John DeRoo / 28 ноября 2018
0 голосов
1 ответ

Скажем, у меня есть enum, который содержит список допустимых команд или кодов операций.Есть ли...

Alphonse von Alexandric / 21 ноября 2018
0 голосов
1 ответ

почему именно концепция программного модуля вошла в картину? Я прочитал в одной книге, что это,...

user226751 / 17 ноября 2018
0 голосов
1 ответ

Я использую System Verilog. Мой файл дизайна верхнего уровня имеет 1-битный вывод bsOut. Я также...

Alex G / 17 ноября 2018
0 голосов
1 ответ

почему эта ошибка? Я не понимаю, START, A и B объявлены в списке чувствительности .. Код...

Carlos Bejarano Sánchez / 07 ноября 2018
0 голосов
2 ответов

У меня есть этот код: integer [31:0]R; integer [15:0]R_f1; integer [15:0]R_f2; assign R_f1 =...

Alex / 30 октября 2018
0 голосов
1 ответ

Я отлаживал загадочную ошибку в моем коде системного журнала в течение нескольких недель.Мой...

user2756376 / 26 октября 2018
0 голосов
1 ответ

Я пытаюсь написать пятую точку записи для записи. module M; bit stop; bit clk; initial while (

justrajdeep / 19 октября 2018
0 голосов
2 ответов

Если я не ошибаюсь, после того, как пакет был проанализирован, его видимость становится глобальной...

Dusan Krantic / 19 октября 2018
0 голосов
1 ответ

Хотелось бы понять влияние на производительность функции "ovm_is_match".Где я могу увидеть...

Alex / 18 октября 2018
0 голосов
1 ответ
0 голосов
1 ответ

Я смоделировал следующий пример: shortint j; byte unsigned data_bytes[]; j =...

Daiz / 12 октября 2018
0 голосов
1 ответ

Как подключить входную логику от интерфейса к контакту на верхнем уровне?Ниже приведен базовый...

Marmstrong / 11 октября 2018
0 голосов
2 ответов

Я пытаюсь использовать системный решатель ограничений verilog для решения следующей постановки...

user3717483 / 11 октября 2018
0 голосов
2 ответов

Как обрабатываются условия в объявлении нелегального_бина?Или они вообще действительны вообще?Мне...

Vineeth VS / 11 октября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...