Вопросы с тегом система-Verilog-утверждения - PullRequest

Вопросы с тегом система-Verilog-утверждения

1 голос
1 ответ

Рассмотрим приведенный ниже пример: module test; reg a; initial begin a = 1'b1; end initial...

user3124390 / 26 октября 2019
0 голосов
0 ответов

Я хотел бы проверить DUT, который по сути является FSM, благодаря средствам тестирования...

extherion / 04 июня 2019
1 голос
1 ответ

есть ли способ добавить утверждение для примитива SystemVerilog или только в модуле (ячейке),...

Meir / 12 мая 2019
0 голосов
0 ответов

У меня есть модуль, который дает мне токовый выход. Пример кода: module core(p,n); inout p,n;...

aguntuk / 11 февраля 2019
0 голосов
0 ответов

Мне нужно проверить это требование Сигнал Serial_in_FF2_meta_out фильтруется по импульсам короче...

mariam / 08 января 2019
0 голосов
1 ответ

Я пытаюсь написать пятую точку записи для записи. module M; bit stop; bit clk; initial while (

justrajdeep / 19 октября 2018
0 голосов
1 ответ

Я пытаюсь проверить дизайн sMEM, используя утверждения в systemVerilog, однако у меня возникла...

mariam / 28 сентября 2018
Для получения более полной информации посмотрите в списке вопросов или в популярных тегах.
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...