Вопросы с тегом испытательный-стенд - PullRequest

Вопросы с тегом испытательный-стенд

1 голос
0 ответов

Я хотел бы проверить свой код, поэтому я делаю тестовый стенд. Я хотел знать, можно ли проверить...

user54517 / 11 декабря 2019
0 голосов
0 ответов

Я хочу создать тестовый стенд для датчика приоритета 4-2. Я пытался присвоить значения Don't Care...

sifislaz / 10 ноября 2019
0 голосов
0 ответов

Я пытаюсь написать код для De1-Soc, чтобы сделать вращающиеся светодиоды. Это система, которая...

Mert Genco / 02 ноября 2019
1 голос
1 ответ

Рассмотрим приведенный ниже пример: module test; reg a; initial begin a = 1'b1; end initial...

user3124390 / 26 октября 2019
0 голосов
1 ответ

Как вы можете управлять внутренними сигналами verilog-кода DUT из testbench? Рассмотрите следующий...

user3303020 / 23 октября 2019
0 голосов
1 ответ

У меня есть многомерный массив logic [7:0] memory[1:0][1:0]; файл с разделением запятыми file.txt 1...

user3303020 / 10 октября 2019
Для получения более полной информации посмотрите в списке вопросов или в популярных тегах.
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...