(vlog-2110) Нелегальная ссылка на сеть "СТАРТ". "А" "Б" - PullRequest
0 голосов
/ 07 ноября 2018

почему эта ошибка? Я не понимаю, START, A и B объявлены в списке чувствительности .. Код представляет собой программу в тестовом стенде. дизайн мультипликационный. START, A и B являются сигналами моей конструкции, и мне нужны эти сигналы для работы при проверке.

Ошибка скачет, когда я запускаю симуляцию RTL с помощью questasim. Я пытался изменить назначение на blockin, но это бесполезно.

Также я пытался изменить способ объявления моих сигналов, но ничего.

program estimulos(input CLOCK, RESET,input logic START,input logic signed[7:0] A, B,output logic signed[15:0] S,output logic END_MULT,

  reg [15:0] cola_targets   [$],
  reg [15:0] target,pretarget,salida_obtenida,
  reg FINAL);

//esto nos permitirá utilziar el operador ## para los ciclcos de reloj

covergroup valores_X;    
  idea1A:coverpoint A;
  idea2B:coverpoint B;
endgroup;      


//declaraciones de tres objetos
  Bus busInst; //objeto de la clase para RSCG
  valores_X veamos; //objeto del covergroup

task monitor_input;
   begin
     while (1)
       begin       
         @(posedge CLOCK);
         if (START==1'b1)
           begin
             pretarget=A*B;//funcion ideal de obtencion de la multiplicación
            cola_targets={pretarget,cola_targets};//meto el valor deseado en la cola
           end
        end
   end
 endtask
 // defino mo
  task monitor_output;
   begin
     while (1)
       begin       
         @(posedge CLOCK);
         if (END_MULT==1'b1)
           begin
            target= cola_targets.pop_back();
             assert (salida_obtenida==target) else $error("operacion mal realizada");
           end
        end
   end
 endtask 


  initial
begin
    busInst = new;
    veamos=new;
    fork
      monitor_input;
      monitor_output;
    join_none
  while ( veamos.get_coverage()<25)
    begin
       busInst.paresA.constraint_mode(1);
        busInst.paresB.constraint_mode(1);
        busInst.imparesA.constraint_mode(0);
        busInst.imparesB.constraint_mode(0);
       $display("pruebo con paresA, paresB");
       assert (busInst.randomize()) else    $fatal("randomization failed");
        A<= busInst.A;  
        B<= busInst.B;
        veamos.sample();
            @(posedge CLOCK);
       START <= 1;
        @(posedge CLOCK);
       START <= 0;
      @(negedge END_MULT);
   end
   $stop;
end
endprogram

1 Ответ

0 голосов
/ 07 ноября 2018

Ваша проблема в том, что START, A и B являются сетями . (См. мой ответ здесь ). Запрещено водить сеть из блока initial (или always). Но почему вы все равно ведете ввод?

...