Вопросы с тегом системы Verilog - PullRequest

Вопросы с тегом системы Verilog

0 голосов
1 ответ

Привет, у меня есть следующие строки кода внутри модуля testbench в файле SystemVerilog с...

EduardoG / 09 октября 2018
0 голосов
1 ответ

Я пытаюсь изучить случай, ссылаясь на https://verificationacademy

al01 / 09 октября 2018
0 голосов
1 ответ

Во всех наших тестовых случаях я вижу фиксированные системные вызовы wait ().Мне нужно свести все к...

user1978273 / 08 октября 2018
0 голосов
1 ответ

Я реализовал специальный фильтр в C / C ++, «инкапсулированный» в SystemC-модуль.Я хочу...

Daiz / 08 октября 2018
0 голосов
2 ответов

class base; int a = 15; endclass class extended extends base; int b = 2; endclass module top;...

al01 / 05 октября 2018
0 голосов
1 ответ

module A#(parameter NUM_PORT=2); logic port_wire[NUM_PORT]; DUT dut_inst( generate for(genvar idx=0;...

Nirmal Raj / 04 октября 2018
0 голосов
2 ответов

interface dut_if(); logic sig_a_i; logic [1:0] sig_b_i; endinterface module tb(); dut_top dut(

renvill / 03 октября 2018
0 голосов
1 ответ

Теперь я анализирую код UVM, как показано ниже для изучения. // UVM run_phase() task...

al01 / 03 октября 2018
0 голосов
1 ответ

Я делал 2D-массив в SystemVerilog, но modelsim скомпилирован с ошибками, поэтому теперь мой вопрос...

Aleksandar Kostovic / 29 сентября 2018
0 голосов
1 ответ

Quartus возвращает эту ошибку: «и индексирование x возвращает совокупное значение».Код: module...

Санат Б / 24 сентября 2018
0 голосов
2 ответов

Я не знаком с uvm, но пытаюсь понять и изучать. Я обнаружил приведенный ниже код при наклоне UVM....

IamUser / 18 сентября 2018
0 голосов
1 ответ

Это не тот блокатор, с которым я имею дело, просто ищу, возможно, более элегантный способ сделать...

axk / 18 сентября 2018
0 голосов
2 ответов

Попытка сделать это parameter integer PRECHARGE_CLOCKS = $ceil(PRECHARGE_NS / CLOCK_PERIOD_NS); И...

axk / 17 сентября 2018
0 голосов
1 ответ

У меня есть сигнал интерфейса, который я инициализирую в блоке initial в моем верхнем модуле. В...

El_Gahaf / 17 сентября 2018
0 голосов
1 ответ

В SystemVerilog у меня есть базовый класс A и производный класс B, C, D.Я хотел бы создать массив...

Sanjeev Singh / 14 сентября 2018
0 голосов
1 ответ

Какими должны быть индексные диапазоны параметра init в этом случае: parameter zero = 0; parameter...

J. Doe / 12 сентября 2018
0 голосов
1 ответ

SystemVerilog имеет нестандартные однобитовые литералы значений, такие как '0 и '1.В...

Hiroto Kagotani / 12 сентября 2018
0 голосов
1 ответ

Я столкнулся с проблемой при попытке изменить очередь класса в функции systemverilog. Вот коды:...

Shuaiyu Jiang / 10 сентября 2018
0 голосов
1 ответ

import rv32i_types::*; module cmp ( input [2:0] sel, input [31:0] a, b, output logic f );...

Annonymous119 / 05 сентября 2018
0 голосов
1 ответ
0 голосов
1 ответ

Я анализировал один VIP и нашел в нем следующую строку: topic class member; Никогда не видел такой...

haykp / 06 июля 2018
0 голосов
1 ответ

enum {Idle, S1, S2} State; covergroup cg_State @(posedge Clock); states : coverpoint State;...

Emman / 05 июля 2018
0 голосов
1 ответ

Чем отличаются методы num () и size () в ассоциативных массивах systemverilog. LRM, похоже, не...

Sharanbr / 02 июля 2018
0 голосов
0 ответов

мой код класса env выглядит следующим образом - class my_env extends uvm_env; my_env_cfg cfg_; //...

Pri / 01 июля 2018
0 голосов
1 ответ

У меня есть проект проверки синхронного FIFO, я создал драйвер, и он был успешным. После этого я...

Haitham Daana / 24 июня 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...