Вопросы с тегом SystemC - PullRequest

Вопросы с тегом SystemC

0 голосов
1 ответ

Я пытаюсь создать схему, которая вычисляет переносы для сложения. В этом фрагменте кода я хотел бы...

Clematrics / 20 октября 2019
0 голосов
1 ответ

Я ищу простой канал для использования в system-c между sc_modules для целей аппаратного...

Michael Fivez / 19 октября 2019
0 голосов
1 ответ

Я пытаюсь реализовать производителя (master), говорящего с элементом памяти (slave) через...

Jack / 10 октября 2019
0 голосов
1 ответ

Я хочу создать экземпляр с массивом в SystemC. Я хочу написать следующее: module name = new...

Hero / 04 октября 2019
0 голосов
0 ответов

Я хочу добавить некоторую задержку, скажем, 1 NS на выходе, которая будет входом для следующих...

Asifhasan Rizvi / 03 июля 2019
0 голосов
3 ответов

Я хочу написать код SystemC, используя Xcode IDE. Я настроил все это, и код, который я использую...

Constikri / 16 июня 2019
0 голосов
2 ответов

В иерархии модулей при подключении входного порта с помощью sc_signal это показывает ошибку. Так...

Asifhasan Rizvi / 28 мая 2019
0 голосов
0 ответов

Я пытаюсь преобразовать код SystemC в Verilog, используя vivado hls, однако я не могу этого сделать...

M.X / 26 мая 2019
1 голос
0 ответов

Я недавно начал изучать systemC, и у меня возникла проблема с имитацией написанного мной модуля...

M.X / 23 мая 2019
1 голос
1 ответ

Я недавно начал изучать SystemC, и у меня есть ошибка со списком чувствительности в "SC_METHOD". Я...

M.X / 23 мая 2019
0 голосов
0 ответов

В приведенной ниже ссылке я изменил входной файл в строке 26 с vcd на wif, но получил эту ошибку:...

FaezehYas / 29 апреля 2019
0 голосов
1 ответ

У меня есть тестовый стенд SystemC (для VHDL DUT, но это сейчас не имеет значения).Мне бы хотелось,...

Matt / 22 апреля 2019
0 голосов
0 ответов

Во время выполнения модели, которая содержит код systemC, я получаю следующую ошибку: Ошибка: имя...

Albert Waissman / 29 марта 2019
0 голосов
2 ответов

Похоже, что есть что-то, чего я не понимаю в множественном наследовании и абстрактных методах.Я...

katang / 04 февраля 2019
0 голосов
0 ответов

У меня есть симуляция, написанная на SystemC, которую я хочу запустить как под управлением CLI, так...

katang / 20 января 2019
0 голосов
2 ответов

Я конвертирую часть своего кода из SC_THREAD в SC_METHOD.У меня вопрос, нужно ли прекратить...

Kelly Stevens / 21 декабря 2018
0 голосов
1 ответ

Мне нужно отследить тип сигналов перечисления в SystemC AMS. Это мой код: sca_util::sca_trace_file*...

sgira / 20 декабря 2018
0 голосов
1 ответ

У меня есть иерархия SystemC top-> middle-> leaf, и я пытаюсь привязать...

Thorsten / 28 ноября 2018
0 голосов
1 ответ

Работая в программе system c, я пропустил ключевое слово public перед конструктором, но программа...

Priya / 27 ноября 2018
0 голосов
1 ответ

Поскольку мы знаем, что в чувствительном списке мы можем указать переменные входного порта, такие...

Priya / 22 ноября 2018
0 голосов
2 ответов

Я работаю с проектом SystemC, в который я хотел бы добавить привязки Python, используя PyBind11, и...

mankeyboy / 19 ноября 2018
0 голосов
1 ответ

Я хочу установить SystemC 2.3.2 в Ubuntu 16.04. Итак, я следовал директивам установочного файла: 2....

A.SDR / 07 ноября 2018
0 голосов
1 ответ

Я пишу графический интерфейс в Qt, где у меня есть: QApplication app(argc, argv); , который...

katang / 05 ноября 2018
0 голосов
2 ответов

Я использую TLM 2.0 приблизительно 4-фазный протокол. Мой модуль имеет 2 целевых сокета и один...

Apache00 / 31 октября 2018
0 голосов
1 ответ

Я реализовал специальный фильтр в C / C ++, «инкапсулированный» в SystemC-модуль.Я хочу...

Daiz / 08 октября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...