мы можем дать переменную порта вывода в чувствительном списке в системе c? - PullRequest
0 голосов
/ 22 ноября 2018

Поскольку мы знаем, что в чувствительном списке мы можем указать переменные входного порта, такие как:

  sc_in<bool> a,b;
  sc_out<bool>out;

  SC_THREAD(my_thread);
  sensitive<<a;// it works normal ..

Но мы можем написать?

  SC_THREAD(my_thread);
  sensitive<<out;

1 Ответ

0 голосов
/ 23 ноября 2018

Да, вы можете добавить порт sc_out к чувствительности.

...