Вопросы с тегом SystemC - PullRequest

Вопросы с тегом SystemC

0 голосов
3 ответов

Я пытаюсь создать typedef векторного класса, который у меня есть.Я обнаружил похожие проблемы в SO,...

Rorschach / 02 октября 2018
0 голосов
0 ответов

Я новичок в systemC. У меня есть модуль, порт которого определен как sc_inout<sc_lv<8>...

user3431800 / 28 сентября 2018
0 голосов
0 ответов

Я хочу реализовать модуль, который при вызове на работу изменяет сигнал x, как показано ниже: 1 clk...

Ans-lte / 05 сентября 2018
0 голосов
1 ответ

Я пытаюсь округлить sc_time с точностью до 10 наносекунд. / перегружен, поэтому можно разделить два...

Zeeshan Hayat / 05 июля 2018
0 голосов
0 ответов

Чтобы запустить файл systemC "c ++ project with cygwin" в eclipse, я получаю сообщение об ошибке,...

lamia / 27 июня 2018
0 голосов
0 ответов

Я пытался скомпилировать простой исполняемый файл System C под Windows 10 bash безуспешно, после...

Falconal / 07 июня 2018
0 голосов
0 ответов

То, что я имею в виду под «другими оболочками», - это другой интерфейс командной строки,...

염준혁 / 24 мая 2018
0 голосов
1 ответ

Я недавно начал использовать SystemC и хотел написать простую программу, которая считывает числа из...

mdxg / 21 мая 2018
0 голосов
0 ответов

У меня есть упакованный объект класса, и его размер составляет 20 байт, и как я могу назначить его...

Enze Chi / 08 мая 2018
0 голосов
1 ответ

Я работаю над небольшим проектом в SystemC и получил запас для заполнения sc_lv (логического...

ArcaGraphy / 02 мая 2018
0 голосов
1 ответ

Этот вопрос относится как к инициализации массива, так и к иерархии модулей SystemC. У меня есть...

sheridp / 02 мая 2018
1 голос
5 ответов

Я новичок в systemc.У меня одна путаница. Я создаю sc_module(hello_world).У sc_ctor(hello_world)...

newbie / 29 января 2012
0 голосов
2 ответов

Я использую systemC с Visual C ++ 2008. Я написал простую программу hello world. Однако я получаю...

newbie / 28 января 2012
1 голос
2 ответов

Как установить SystemC на Fedora 15? Проблемы: нет пакета RPM (проблемы с лицензированием) не...

Paweł Prażak / 02 сентября 2011
1 голос
2 ответов

Кто-нибудь знает, есть ли симулятор набора команд MIPS с открытым исходным кодом (предпочтительно...

lukmac / 15 июля 2011
1 голос
1 ответ

Я пишу приложение для моделирования аппаратной системы с использованием библиотек SystemC.Моя...

Andry / 13 апреля 2011
2 голосов
2 ответов

Я моделирую процессор и делаю это с помощью инструментов моделирования высокого уровня. SystemC...

Andry / 13 апреля 2011
2 голосов
1 ответ

Я успешно скомпилировал приложение SystemC, которое я использую для имитации процессора при работе...

Andry / 11 апреля 2011
2 голосов
2 ответов

У меня проблема в SystemC, пытающейся записать сигнал через некоторое время ... Примите во внимание...

Andry / 06 апреля 2011
2 голосов
2 ответов

Я новичок в программировании на SystemC, и я заметил одну вещь (глядя в официальной документации...

Andry / 05 апреля 2011
1 голос
2 ответов

У меня действительно странная проблема, и я не на 100%, почему компилятор жалуется.Код выглядит...

Jim / 02 марта 2011
4 голосов
3 ответов

В SystemC, каков синтаксис для использования событий в качестве входов / выходов модуля. У меня...

Joe / 16 февраля 2011
11 голосов
4 ответов

Я работаю над проектом в SystemC и хочу включить модульное тестирование.Можно ли использовать...

Joe / 07 февраля 2011
0 голосов
4 ответов

Я использую C ++ для аппаратного проектирования моделей с помощью SystemC.SystemC как расширение C...

wishi / 22 ноября 2010
1 голос
2 ответов

Мне нужно спроектировать некоторые цифровые схемы, но это убивает меня, рисуя их вручную.Я искал...

ilcredo / 19 ноября 2010
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...