Задание задержек сигнала в SystemC как пункт ПОСЛЕ в VHDL - PullRequest
2 голосов
/ 06 апреля 2011

У меня проблема в SystemC, пытающейся записать сигнал через некоторое время ...

Примите во внимание следующее:

process (clk)
   begin
      -- Updating my signal, out signal, in order to get result, but after a certain delay.
      signal1 <= '0' after 2 ns;

ОК!Я могу сделать то же самое в SystemC:

SC_CTOR(MyModule) {
   SC_METHOD(mymethod);
   sensitive << ....
}
void mymethod() {
   mysig = '0'; // HOW TO SAY AFTER 2 NS?????????
}

Как я могу указать задержку для назначения сигнала в SystemC ????

Ответы [ 2 ]

2 голосов
/ 06 апреля 2011

Я думаю, вы можете wait(2, SC_NS); в SC_THREAD с, но не в SC_METHOD с. (AFAIK, вам не разрешено wait в SC_METHOD с.)

0 голосов
/ 07 июня 2011

Я уже забыл синтаксис SC, но он должен быть похож на GBL, функция write должна принимать необязательный параметр задержки, например mysig.write(0, 2*SC_NS); В GBL это либо mysig.Write(0, 2*ns);, либо альтернативный синтаксис: mysig(2*ns) = 0;

...