У меня действительно странная проблема, и я не на 100%, почему компилятор жалуется.Код выглядит следующим образом:
variable a : std_logic_vector(2 downto 0);
variable b : std_logic;
....
if (a = "100") AND (b) then
-- do something
elsif (a = "011") OR (b) then
-- do something else
Затем я получаю сообщение об ошибке:
"AND can not have such operands in this context",
"OR can not have such operands in this context", respectively for the second IF
выражение.
Любой, кто знает, почему VHDL не нравится эта конструкцияесли есть обходной путь для этого?
Спасибо, Джим