присваивания распакованному массиву должны быть агрегатными выражениями: SystemVerilog - PullRequest
0 голосов
/ 03 сентября 2018
reg [7:0] num [0:15]={8'd64,8'd121,8'd36,8'd48,8'd25,8'd18,8'd2,8'd120,8'd0,8'd24,8'd8,8'd3,8'd35,8'd33,8'd4,8'd14};

Эта конкретная строка моего кода дает следующую ошибку: присваивания распакованному массиву должны быть агрегированными выражениями. Как это побороть?

1 Ответ

0 голосов
/ 04 сентября 2018

Вам нужно добавить ' перед ведущим {:

reg [7:0] num [0:15]='{8'd64,8'd121,8'd36,8'd48,8'd25,8'd18,8'd2,8'd120,8'd0,8'd24,8'd8,8'd3,8'd35,8'd33,8'd4,8'd14};
...