Вопросы с тегом системы Verilog - PullRequest

Вопросы с тегом системы Verilog

0 голосов
3 ответов

Возможно ли иметь два флопа / любые другие экземпляры имеют одинаковое имя в списке соединений?...

Hemant Bhargava / 27 февраля 2019
0 голосов
1 ответ

в статье Методы кодирования и написания сценариев для проектов FSM с оптимизированными синтезом...

Mel / 25 февраля 2019
0 голосов
1 ответ

У меня есть файл с транзакциями, у каждой есть время, когда это произошло.Я хочу запустить...

user3697021 / 23 февраля 2019
0 голосов
2 ответов

Я ищу некоторое интуитивное понимание метода systemverilog ожидания определенного сигнала на...

rosepark222 / 22 февраля 2019
0 голосов
0 ответов

Есть ли способ отладки ваших групп покрытия и точек покрытия по сигналам, для которых они написаны,...

Sparsh Gupta / 19 февраля 2019
0 голосов
1 ответ

Я новичок в SystemVerilog и в проекте, над которым я работаю, я наткнулся на следующую декларацию...

l.voe / 18 февраля 2019
0 голосов
1 ответ

У меня есть два экземпляра DUT, которые работают в режиме блокировки, один из которых запускает T...

Arun D'souza / 14 февраля 2019
0 голосов
0 ответов

class A; string dev_name = "MY_DEV"; virtual function string gen_str(int m=-1); string...

Alex / 13 февраля 2019
0 голосов
1 ответ

Мне интересно, можно ли переопределить тест, указанный в командной строке, через + UVM_TESTNAME с...

Gautam / 11 февраля 2019
0 голосов
2 ответов

Предположим, что мой модуль имеет 8-битный вход и 8-битный выход module MyModule (input logic [7:0]...

qwr / 11 февраля 2019
0 голосов
1 ответ

IEEE 1800-2017 LRM сообщает в разделе 25.9 Виртуальные интерфейсы , что: Хотя интерфейс может...

Tudor Timi / 11 февраля 2019
0 голосов
1 ответ

Я пытаюсь изменить детализацию UVM симуляции после выполнения определенных условий.Параметры...

Salim / 02 февраля 2019
0 голосов
1 ответ

Я пытаюсь вывести один бит за раз через SPI из известного 2D-массива. logic [7:0] fpga_status_queue...

Sabersimon / 24 января 2019
0 голосов
0 ответов

Полагаю, «write_ptr_gray_nxt» также следует сбросить. «Write_ptr_gray_nxt» является частью «полной»...

kevin998x / 24 января 2019
0 голосов
1 ответ

Я обнаружил проблему в проприетарном языке Electronics Design Automation и решил посмотреть, как...

Paddy3118 / 21 января 2019
0 голосов
3 ответов

Я сейчас пытаюсь ввести бит в массив битов. Положение бита, который нужно «форсировать», зависит от...

hk56740 / 17 января 2019
0 голосов
2 ответов

Я пытаюсь создать модуль, который имеет другой модуль памяти, я пытаюсь иметь один параметр,...

Ganesh Rahate / 15 января 2019
0 голосов
1 ответ

Я пытаюсь понять, как typedef и enum работают в SystemVerilog и, в частности, возможно ли...

Sandro Sartoni / 13 января 2019
0 голосов
1 ответ

Я создаю покрытие для своего дизайна и хочу повторно использовать определение группы покрытия для...

Melandru's Square / 11 января 2019
0 голосов
1 ответ

Я генерирую разделенные часы, что-то вроде этого: logic div_clk; always_ff @(posedge clk or negedge...

nguthrie / 09 января 2019
0 голосов
0 ответов

Мне нужно проверить это требование Сигнал Serial_in_FF2_meta_out фильтруется по импульсам короче...

mariam / 08 января 2019
0 голосов
1 ответ

Я пытаюсь создать какое-то оборудование в SystemVerilog, и я столкнулся с проблемой, на которую не...

Jonathan Rainer / 04 января 2019
0 голосов
1 ответ

Я пытаюсь самостоятельно изучить SystemVerilog (я студент университета, и в своих проектах я всегда...

Sandro Sartoni / 03 января 2019
0 голосов
1 ответ

У меня есть класс с rand членом данных i. Этот класс (child) является членом класса parent, который...

Matthew Taylor / 03 января 2019
0 голосов
1 ответ

Есть ли проблемы с использованием версии зашифрованных файлов Quartus, специфичной для Quartus 14.1...

Mel / 02 января 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...