uvm_sequence_item get_type_name должно быть виртуальным - PullRequest
1 голос
/ 14 июня 2019

Глядя на базовые классы uvm, я заметил, что метод uvm_sequence_item get_type_name не определен как виртуальный. Это означает, что если у меня есть производный класс, который понижен до дескриптора uvm_sequence_item, то вызов get_type_name для этого дескриптора вернет неправильное значение?

1 Ответ

1 голос
/ 14 июня 2019

get_type_name равно virtual в 1,2. Вот выдержка из исходного кода uvm_object:

virtual function string get_type_name (); return "<unknown>"; endfunction

get_type_name не переопределяется в uvm_sequence_item. (Так откуда вы берете свою информацию?) Даже если бы это было так, вам не нужно маркировать метод как virtual, если метод базового класса равен virtual.

...