Вопросы с тегом система Verilog - PullRequest

Вопросы с тегом система Verilog

0 голосов
1 ответ

Я работаю над фрагментом кода, в котором мне нужно сгенерировать вывод в соответствии с условием -...

shanuj garg / 11 января 2019
0 голосов
1 ответ

Как мы можем преобразовать электронную таблицу регистров в файл XML для передачи входных данных из...

Vineet dubey / 10 января 2019
0 голосов
1 ответ

module inst(); supply1 sp1; supply1 sp2; top top1(.p1(sp1)); top top2(.p1(sp2)); endmodule Может...

karen.nik98 / 08 января 2019
0 голосов
1 ответ

Я новичок в системе Verilog и прохожу файл .svh. В его сценарии есть строки, в которых говорится об...

Nur Sakinah Burhanuddin / 07 января 2019
0 голосов
2 ответов

У нас есть конструктор, который назначил временный результат переменной в комбинационном блоке...

pc3e / 02 января 2019
0 голосов
2 ответов

Может ли кто-нибудь помочь объяснить эту разницу в поведении?Разве виртуальные функции не должны...

kernalmode / 29 декабря 2018
0 голосов
0 ответов

Я все еще новичок в SystemVerilog и пытаюсь привести несколько примеров.Один пример использует...

Mahmoud Abdel-Mon'em / 27 декабря 2018
0 голосов
1 ответ

Я новичок в системе Verilog и у меня возникли проблемы с поиском любого источника, так что Когда я...

Ben Bitdiddle / 19 декабря 2018
0 голосов
2 ответов

У меня есть два экземпляра с именами (inst_1 и inst_2), и я хочу сделать операцию на обоих из них с...

Yehia Farouk / 10 декабря 2018
0 голосов
1 ответ

Скажем, у меня есть два типа данных перечисления команд // CMD global macros `define CMD_1_VAL...

Alphonse von Alexandric / 10 декабря 2018
0 голосов
0 ответов

Мой проект содержит MicroBlaze, несколько шин AXI4 Lite / Full на XPS в проекте ISE.В ISE...

Vlad / 09 декабря 2018
0 голосов
0 ответов
0 голосов
1 ответ

почему я получаю эту ошибку? Это когда я пытаюсь присвоить значения входным проводам.Как я могу это...

yaman97 / 06 декабря 2018
0 голосов
1 ответ

Я пытаюсь выучить правильное / готовое рукопожатие в verilog.В частности, мне интересно...

M.X / 02 декабря 2018
0 голосов
1 ответ

module top; m m1(); m m2(); endmodule module m; myudp u1(); endmodule Я хочу дать delay=5 top.m1.u1....

Arpita Kundu / 29 ноября 2018
0 голосов
0 ответов

Я пытаюсь написать секундомер в verilog на плате Basys3.У меня очень мало опыта в написании verilog

Soumil Kanwal / 26 ноября 2018
0 голосов
2 ответов

Я попытался создать экземпляр этого модуля, он выдал мне следующую ошибку (неожиданное '#',...

Yehia Farouk / 21 ноября 2018
0 голосов
1 ответ

будет ли блок always без списка чувствительности выводить комбинационную логику, точно так же, как...

TheSprintingEngineer / 18 ноября 2018
0 голосов
1 ответ

Есть ли способ сделать взвешенную рандомизацию в System Verilog на основе данных времени выполнения

Krishna / 18 ноября 2018
0 голосов
1 ответ

В синтезируемом SystemC я могу связывать каждый элемент вектора портов индивидуально:...

random / 16 ноября 2018
0 голосов
1 ответ

Мне нужно инициализировать массивы в сгенерированных экземплярах через блок генерации в Verilog.Я...

yildizabdullah / 16 ноября 2018
0 голосов
2 ответов
0 голосов
2 ответов

Играя с systemverilog, я пытаюсь получить статус некоторых процессов, которые разветвлены. Для...

Viktorinox / 16 ноября 2018
0 голосов
1 ответ

Я провел несколько тестов на игровой площадке EDA, чтобы проверить, какие инструменты симулятора...

Viktorinox / 06 ноября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...