Вопросы с тегом система Verilog - PullRequest

Вопросы с тегом система Verilog

6 голосов
1 ответ

Я пытаюсь написать этот код: for (i = 0; i <= CONST - 1'b1; i = i + 1'b1) begin :...

typon / 27 июля 2011
1 голос
4 ответов

У меня проблема с этим кодом Systemverilog. Я новичок в этом языке и очень сложен найти...

adriano / 19 мая 2011
3 голосов
5 ответов

Я работаю на симуляторе высокого уровня, написанном на C ++ для некоторого оборудования,...

Nathan Fellman / 11 мая 2011
3 голосов
3 ответов

Вы можете сказать, в чем смысл этого всегда @ * Есть ли какие-либо возможные побочные эффекты после...

Miguel A. Friginal / 07 мая 2011
1 голос
2 ответов

module DoorControl( clk, data, open,addressOftheMemory, outp ); localparam Size_ofTheWord = 32;...

Miguel A. Friginal / 02 мая 2011
8 голосов
2 ответов

Вместо использования module ... ( .. ) ; #15 endmodule Я хочу использовать module ... ( ... ) ; //...

Miguel A. Friginal / 01 мая 2011
3 голосов
2 ответов

Как, например, наилучшим образом использовать ретайминг и / или c-slow, чтобы максимально...

mrflibble / 28 апреля 2011
1 голос
2 ответов

Я довольно новичок в Verilog, но у меня есть массив из 16 элементов (каждый элемент имеет длину 16...

GobiasKoffi / 26 апреля 2011
1 голос
1 ответ

Я пытаюсь скомпилировать свою программу, но получаю ошибки при использовании арифметического...

Brahadeesh / 18 апреля 2011
1 голос
3 ответов

Я работаю над проверкой.В настоящее время я сталкиваюсь с проблемой преобразования данных,...

Aravind / 12 апреля 2011
2 голосов
2 ответов

Я встречался в примере для системного кодирования verilog для ввода и вывода для module без...

SIMEL / 01 апреля 2011
2 голосов
1 ответ

Я хочу очистить буфер файлов перед выполнением $finish в моей симуляции. Есть ли команда очистки...

Ross Rogers / 25 марта 2011
22 голосов
2 ответов

Я реализую настраиваемую DPRAM, где RAM DEPTH является параметром. Как определить ШАГ АДРЕСА из...

Ashwini / 11 марта 2011
24 голосов
6 ответов

Я немного озадачен тем, как блокирующие и неблокирующие назначения интерпретируются, когда речь...

infinitloop / 11 января 2011
5 голосов
3 ответов

Существуют ли грамматики для системы Verilog с открытым исходным кодом?Я ищу System Verilog, а не...

Ross Rogers / 22 декабря 2010
1 голос
3 ответов

Я пытался реализовать класс кольцевых двусвязных списков (с одним сторожевым узлом) в systemverilog

vixos / 26 октября 2010
1 голос
3 ответов

Я пытался скомпилировать код module counter( input clk, input upSignal, input downSignal, output...

segfault / 25 октября 2010
10 голосов
7 ответов

SystemVerilog добавил пакеты для предоставления пространств имен для общих частей кода (функций,...

JeffW / 09 октября 2010
2 голосов
1 ответ

Существует ли реализация TAP ( Test Anything Protocol ) для Verilog? Было бы неплохо, потому что...

Brian Carlton / 09 октября 2009
6 голосов
2 ответов

У меня есть тестовый стенд на основе Verilog, подключенный к источнику C с использованием DPI....

Alphaneo / 07 апреля 2009
27 голосов
5 ответов

Глядя на некоторый код, который я поддерживаю в System Verilog, я вижу некоторые сигналы, которые...

Nathan Fellman / 25 января 2009
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...