Вы указываете параметр при создании экземпляра интерфейса;Вы не указываете это в списке портов модуля.Учитывая
interface myinterface #(parameter DATA_SIZE = 0);
...
Все, что вам нужно, это
module mymodule (myinterface.mymodport mybus);
...
, потому что где-то еще у вас есть
myinterface #(.DATA_SIZE(64)) i();
interface myinterface #(parameter DATA_SIZE = 0);
logic [DATA_SIZE-1:0] AWID;
logic [31:0] AWADDR;
modport mymodport (input AWID, AWADDR);
endinterface
module mymodule (myinterface.mymodport mybus);
initial
$display("mymodule");
endmodule
module top;
myinterface #(.DATA_SIZE(64)) i();
mymodule m (.mybus(i));
endmodule
https://www.edaplayground.com/x/528x