Необязательная рандомизация переменной enum - PullRequest
0 голосов
/ 21 сентября 2018

Я пишу Testbench, используя Systemverilog, и я хочу иметь свободу выбора в каждом тесте либо рандомизировать некоторые переменные, либо указать их значение (из файла .do или из командной строки).Есть ли возможность в Systemverilog сделать это?

Ответы [ 2 ]

0 голосов
/ 22 сентября 2018

Есть много вещей, которые вы можете сделать, но самое простое - ввести +some_variable=value в командной строке, а затем в вашем коде

if (!$value$plusargs("some_variable=%0d",some_variable)
   some_variable = $urandom;
0 голосов
/ 21 сентября 2018

Объявите переменную и используйте $urandom или $urandom_range для генерации случайных значений.Если вы хотите передать значения из командной строки, вы можете использовать $value$plusargs.

Прочтите главу 21 (Системные задачи ввода / вывода) LRM, чтобы найти примеры.

Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...