Сигаси в Затмении - PullRequest
       10

Сигаси в Затмении

0 голосов
/ 12 февраля 2019

Я только что установил плагин Sigasi Studio Eclipse (версия: Eclipse IDE 2018-12).Когда я пытаюсь запустить его, чтобы создать новый файл VHDL, я получаю следующее:

Выбранный мастер не может быть запущен.org / eclipse / lsp4j / Range (произошло в com.sigasi.hdt.vhdl.ui.VhdlExecutableExtensionFactory) org / eclipse / lsp4j / Range

Как мне это решить, пожалуйста?Заранее спасибо.

Ответы [ 2 ]

0 голосов
/ 04 апреля 2019

SIGASI + GHDL + GTKWAVE (все в одном)

Это очень мощная комбинация, которую вы можете настроить.ВНИМАНИЕ! Я использую macOS 10.13.6:

Шаг 1

Убедитесь, что вы установили GHDL и GTKWAVE, набрав

$ which gtkwave
/usr/local/bin/gtkwave
$ which ghdl
/usr/local/bin/ghdl

Шаг 2

Откройте Sigasi и создайте новый проект и создайте дополнительный файл compile.sh с:

#!/bin/sh

PROJECT_NAME="PWM_Generator"
PROJECT_NAME_TB="PWM_Generator_tb"
WORKING_DIR="/Users/imeksbank/Dropbox/UMHDL"

/usr/local/bin/ghdl -a --workdir=$WORKING_DIR/work.ghdl $WORKING_DIR/$PROJECT_NAME/$PROJECT_NAME.vhd;
/usr/local/bin/ghdl -a --workdir=$WORKING_DIR/work.ghdl $WORKING_DIR/$PROJECT_NAME/$PROJECT_NAME_TB.vhd;
/usr/local/bin/ghdl -e --workdir=$WORKING_DIR/work.ghdl $PROJECT_NAME_TB;
/usr/local/bin/ghdl -r --workdir=$WORKING_DIR/work.ghdl $PROJECT_NAME_TB --vcd=$WORKING_DIR/$PROJECT_NAME/simulation.vcd;

сейчас, имейте в виду, что для каждого проекта вы создаете свои собственные переменные, такие как

  • PROJECT_NAME
  • PROJECT_NAME_TB
  • WORKING_DIR

Я всегда использую Dropbox для такого подхода, потому что тогда я могу получить доступ и через Windows.
И, конечно, есть возможность создавать собственные переменные в Sigasi -> Внешний инструмент Конфигуратор -> Программа -> compile_sh -> среда , чтобы передать их, чтобы сделать compile.sh независимым.Здесь вы должны разобраться с этим самостоятельно =)

Шаг 3 .

Настройка Конфигурации внешних инструментов , чтобы Sigasi Studio мог выполнить сценарий оболочки и создать файл .vcd для gtkwave :

Нажмите на текущий созданный проект (в моем случае это PWM_Generator).
После этого нажмите Выполнить -> Внешние инструменты -> Конфигурации внешних инструментов ... .
Затемперейдите на левую боковую панель и в разделе Program создайте свой собственный якорь, например compile_sh .

Наконец, у вас есть маршрут:

  • Программа
  • - compile_sh

А теперь расширьте этот якорь с помощью созданной пользователем оболочкискрипт:

Main-> Location получает $ {workspace_loc: /PWM_Generator/compile.sh}
Main-> Рабочий каталог получает $ {workspace_loc: / PWM_Generator}

Нажмите Применить и запустить и все!После этого вы можете запрограммировать VHDL / Verilog и скомпилировать с помощью Выполнить -> Внешние инструменты -> compile_sh , создав .vcd .В вашем проекте появляется файл gtkwave и там просто двойной щелчок и он запускается.=)

0 голосов
/ 12 февраля 2019

Благодаря поддержке Sigasi я смог решить проблему.Они написали мне:

Последняя версия плагина lsp4j для версии xtext, которая поставляется с Sigasi Studio 4.2.Эта проблема была решена в канале предварительного просмотра выпуска 4.3.Поэтому - если вы хотите использовать версию плагина Sigasi Studio - я рекомендую установить предварительный просмотр 4.3, следуя инструкциям, описанным в http://insights.sigasi.com/tech/preview.html.

Вот и все.Теперь я хотел бы настроить Sigasi с GHDL (в качестве компилятора, когда я запускаю проект) и GTKWAVE (с помощью средства просмотра волн).Как я могу это сделать?

Заранее спасибо.

...