Я пытаюсь смоделировать проект VHDL, и modelsim выдает мне следующую ошибку:
> Error: (vsim-3173) Entity 'C:/Users/arco.DESKTOP-KBU8HF2/Desktop/ALL/facul/circuitos/Nova pasta/simulation/modelsim/rtl_work.relogio' has no architecture.
Я использую Quartus Prime Lite Edition 16.0 и Modelsim 10.5b.Это мой код:
library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity Relogio is port(
clk,enable,clear: in std_logic;
HEX1: out std_logic_vector(3 downto 0);
HEX2: out std_logic_vector(3 downto 0);
max: out std_logic);
end Relogio;
architecture behvRelogio of Relogio is
component Clock_50 is port(
clk,enable,clear: in std_logic;
S: out std_logic_vector(25 downto 0);
max: out std_logic);
end component;
component unidadeSegundos is port(
clk,enable,clear: in std_logic;
S: out std_logic_vector(3 downto 0);
max: out std_logic);
end component;
component dezenaSegundos is port(
clk,enable,clear: in std_logic;
S: out std_logic_vector(3 downto 0);
max: out std_logic);
end component;
signal CLOCK: std_logic;
signal m: std_logic;
begin
CLK1: Clock_50 port map(clk,enable,clear,open,CLOCK);
US: unidadeSegundos port map(clk,(enable and CLOCK),clear,HEX1(3 downto 0),m);
DS: dezenaSegundos port map(clk,(m and CLOCK),clear,HEX2(3 downto 0),open);
end behvRelogio;