VHDL Предварительная загрузка ОЗУ с файлом MIF - PullRequest
0 голосов
/ 14 мая 2019

Я пытаюсь реализовать память в VHDL и при тестировании ее на плате DE2 я хочу предварительно загрузить память сгенерированными значениями.Сначала я попытался сделать это, читая из текстового файла, но это не сработало, потому что нельзя загрузить текстовый файл на плату ПЛИС.Поэтому я обратился к файлам MIF.Однако я не знаю, как получить vhdl / quartus ii, чтобы связать сгенерированный мной файл MIF с созданной ОЗУ.

Я также пытался использовать 1-портовый ОЗУ ОЗУ с оперативной памятью, но потому что он синхронизирует чтение и запись, и это приводит к тому, что они не предоставляют данные достаточно быстро, чтобы быть полезными.

Ниже приведен код созданной мной оперативной памяти:

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;

entity instruction_memory is
    port (
        input_address : in std_logic_vector(31 downto 0);
        opcode : out std_logic_vector(31 downto 0)
    );
end instruction_memory;

architecture archInstruction_Memory of instruction_memory is
    subtype word_t  is std_logic_vector(31 downto 0);
    type    ram_t   is array(0 to 4095) of Reichman_word_t;

    impure function ReadMemFile(FileName : STRING) return ram_t is
        file FileHandle       : TEXT open READ_MODE is FileName;
        variable CurrentLine  : LINE;
        variable TempWord     : bit_vector(31 downto 0);
        variable Result       : ram_t    := (others => (others => '0'));

        begin
           for i in 0 to 4095 loop
                exit when endfile(FileHandle);
                readline(FileHandle, CurrentLine);
                read(CurrentLine, TempWord);
                Result(i) := to_stdlogicvector(TempWord);
            end loop;

            return Result;
        end function;

        signal ram    : ram_t    := ReadMemFile("instructions_memory.txt");
        attribute ram_init_file : string;
        attribute ram_init_file of ram : signal is "instructions_memory.mif";


begin 
    opcode <= ram(to_integer(unsigned(input_address(31 downto 0))));
end archInstruction_Memory;

Как получить предварительную загрузку данных в файл .mif, чтобы при тестировании на плате DE2 это показывало, чтоон использует эти значения?

1 Ответ

1 голос
/ 15 мая 2019

Я использую скрипт tcl для преобразования двоичных данных (кода) в константу VHDL, которую можно использовать для генерации ПЗУ:

package require cmdline

post_message "embed_m68k.tcl"

exec /bin/bash -c "(cd m68k; make)"
set binfile m68k/simple.bin
set fp [open $binfile r]
fconfigure $fp -translation binary
set bindata [read $fp]
close $fp

set filename simple.vhd

set date [clock format [clock seconds] -format { %a, %Y-%m-%d, %H:%M }]
set file [open $filename w]
set script [info script]

puts $file "library ieee;"
puts $file "use ieee.std_logic_1164.all;"
puts $file ""
puts $file "    -- VHDL representation of $binfile"
puts $file "    -- generated by $script on $date"
puts $file "    -- m68k executable as preloaded RAM contents"
puts $file ""
puts $file "package m68k_binary is"
puts $file "    subtype ubyte is std_logic_vector(7 downto 0);"
puts $file "    type ubyte_array is array (natural range <>) of ubyte;"
puts $file ""
puts $file "    constant m68k_binary    : ubyte_array :="
puts $file "    ("
puts -nonewline $file "        "
set len [string length $bindata]
for {set i 0} {$i < $len} {incr i} {
    set char [string index $bindata $i]
    binary scan $char H2 byte
    puts -nonewline $file "x\""
    puts -nonewline $file $byte
    puts -nonewline $file "\""
    if { ! ([expr $i + 1] == $len) } {
        puts -nonewline $file ", "
    }
    if { [expr ($i + 1) % 8] == 0 } {
        puts $file ""
        puts -nonewline $file "        "
    }
}
puts $file ""
puts $file "    );"
puts $file "end package m68k_binary;"
close $file

Вы можете легко включить сценарий в рабочий процесс Quartus, используя переменную PRE_FLOW_SCRIPT_FILE в вашем .qsf:

set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:precmd.tcl"

PRE_FLOW_SCRIPT_FILE будет автоматически выполняться в начале процесса синтеза. Просто включите сгенерированный VHD-файл в ваш проект.

...