Я создал новый блог-сайт для Verilog.Но как мне добавить фрагмент кода с синтаксисом и цветом?Я использую WordPress для создания сайта? - PullRequest
0 голосов
/ 11 июня 2018

Я использую WordPress для написания своих блогов.Я хочу добавить фрагмент кода verilog.как добавить синтаксис и форматирование в код.Я новичок в WordPress и не знаю много об этом.

1 Ответ

0 голосов
/ 12 июня 2018

Я бы предложил использовать генератор статического сайта, например Hugo .Он использует Chroma по умолчанию для подсветки синтаксиса и в этом поддерживается (System) Verilog .

Вы просто пишете блоки кода в Markdown как:

```systemverilog
`timescale 1ns/1ps

module top;

  initial begin
    $timeformat(-9, 3, " ns", 11); 
    #10.1;
    $display("[%t] Hello", $realtime);
    $finish;
  end

endmodule : top
```
...