Я бы предложил использовать генератор статического сайта, например Hugo .Он использует Chroma по умолчанию для подсветки синтаксиса и в этом поддерживается (System) Verilog .
Вы просто пишете блоки кода в Markdown как:
```systemverilog
`timescale 1ns/1ps
module top;
initial begin
$timeformat(-9, 3, " ns", 11);
#10.1;
$display("[%t] Hello", $realtime);
$finish;
end
endmodule : top
```