Vivado HLS RTL / Cosimulation Fail - PullRequest
       67

Vivado HLS RTL / Cosimulation Fail

0 голосов
/ 15 декабря 2018

У меня проблема в Vivado HLS. Я разрабатываю ipcore, в котором вход AXI-Stream, а выход - AXI-Stream.В C Syntheseis нет проблем, а также хорошо работает в C Simulation.Но RTL / Cosimulation терпит неудачу.

Моя главная функция выглядит так:

void CT(point_in SetA[2 * M], point_out Centers[M]){
#pragma HLS INTERFACE axis register both port=SetA
#pragma HLS INTERFACE axis register both port=Centers
...
}

Это сообщение консоли, когда я пытаюсь запустить RTL / Cosimulation:

...
Starting static elaboration
ERROR: [VRFC 10-147] xbip_pipe_v3_0_5.xbip_pipe_v3_0_5_viv_comp failed to restore
ERROR: [VRFC 10-213] Registering Dependencies Error: The library 'xbip_pipe_v3_0_5' could not be found during restore
ERROR: [VRFC 10-147] floating_point_v7_1_6.floating_point_v7_1_6_viv failed to restore
ERROR: [VRFC 10-147] xbip_pipe_v3_0_5.xbip_pipe_v3_0_5_viv_comp failed to restore
ERROR: [VRFC 10-213] Registering Dependencies Error: The library 'xbip_pipe_v3_0_5' could not be found during restore
ERROR: [VRFC 10-147] floating_point_v7_1_6.floating_point_v7_1_6_viv failed to restore
ERROR: [VRFC 10-147] xbip_pipe_v3_0_5.xbip_pipe_v3_0_5_viv_comp failed to restore
ERROR: [VRFC 10-213] Registering Dependencies Error: The library 'xbip_pipe_v3_0_5' could not be found during restore
ERROR: [VRFC 10-147] floating_point_v7_1_6.floating_point_v7_1_6_viv failed to restore
WARNING: [VRFC 10-122] floating_point_v7_1_6_viv remains a black-box since it has no binding entity [/wrk/2018.2/continuous/2018_06_14_2258646/packages/customer/vivado/data/ip/xilinx/floating_point_v7_1/hdl/floating_point_v7_1_vh_rfs.vhd:91730]
WARNING: [VRFC 10-982] library name floating_point_v7_1_6 of instantiated unit conflicts with visible identifier [C:/Users/Dropbox/Vivado_projects/Coreset_Tree/test4/test_coreset/solution1/sim/verilog/ip/xil_defaultlib/CoresetTree_ap_fadd_3_full_dsp_32.vhd:195]
ERROR: [XSIM 43-3322] Static elaboration of top level Verilog design unit(s) in library work failed.
ERROR: Please check the snapshot name which is created during 'xelab',the current snapshot name "xsim.dir/CoresetTree/xsimk.exe" does not exist
INFO: [COSIM 212-211] II is measurable only when transaction number is greater than 1 in RTL simulation. Otherwise, they will be marked as all NA. If user wants to calculate them, please make sure there are at least 2 transactions in RTL simulation.
command 'ap_source' returned error code
while executing
"source C:/Users/Dropbox/Vivado_projects/Coreset_Tree/test4/test_coreset/solution1/cosim.tcl"
invoked from within
"hls::main C:/Users/Dropbox/Vivado_projects/Coreset_Tree/test4/test_coreset/solution1/cosim.tcl"
("uplevel" body line 1)
invoked from within
"uplevel 1 hls::main {*}$args"
(procedure "hls_proc" line 5)
invoked from within
"hls_proc $argv"
Finished C/RTL cosimulation.
...