Как вызвать файлы DLL в среде Verilog? - PullRequest
0 голосов
/ 22 февраля 2019

Я пишу тестовый стенд и в ТБ я пытаюсь вызвать файл DLL в env ModelSim, но среда, кажется, не распознает файлы DLL.

Ниже мой код:

`timescale 1ns / 1ps

 module c7552_tester();
 reg ;wire ;

 wire; reg; detected; 
 integer testFile, faultFile, status; 
 reg[206:0] testVector;
 reg [8*60:1] wireName;
 reg stuckAtVal;
 wire GoP,FoP;

 c7552_net GUT ();

 c7552_net FUT ();


 initial begin

 faultFile = $fopen("C:/xilinxproj/pattern_test/c7552.flt", "r");
 if (!faultFile)
  $display("Could not open \"faultFile\"");
 else begin
  $display("could open faultFile");
    end

 while( ! $feof(faultFile)) begin
 detected = 1'b0;  
 status = $fscanf(faultFile,"%s s@%b\n",wireName, stuckAtVal);
 $InjectFault ( wireName , stuckAtVal);
 testFile = $fopen("C:/xilinxproj/pattern_test/c7552.pat", "r");
    while((!$feof(testFile))&(detected == 0)) begin
    #30;
    status = $fscanf(testFile,"%b\n", testVector);
    {} = testVector;
    #60;
        if (GoP != FoP) begin
        detected = 1'b1;  
        $display("Fault:%s SA%b detected by %b at %t.",wireName,stuckAtVal, 
    {}, $time);
        end 
    end 
    $RemoveFault(wireName);

    #30; 
   end
  $stop;
  end

 endmodule

Я хочу знать, является ли мое заявление правильным или нет.

...