Я пытаюсь реализовать архитектуру, которая имеет только 8-разрядный сумматор-вычитатель.Но есть проблема, которую я не могу решить.Когда я использую архитектуру вычитателя, мне нужно вычислить вынос, но я не смог.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
entity sub is
port ( a : in std_logic_vector(7 downto 0);
b : in std_logic_vector(7 downto 0);
o : out std_logic_vector(7 downto 0)
);
end sub;
architecture Behavioral of sub is
signal a2,b2 : unsigned (7 downto 0);
begin
a2<=unsigned(a);
b2<=unsigned(b);
o<=std_logic_vector(a2-b2);
end Behavioral;
Редактировать: я говорю о "c1"и" c5 "сигналы на рисунке.