используемый уровень детализации (псевдоним) для отчетов UVM (используя uvm_info) - PullRequest
0 голосов
/ 21 октября 2019

В UVM есть предопределенные уровни детализации:

  • UVM_DEBUG
  • UVM_FULL
  • UVM_HIGH
  • UVM_MEDIUM
  • UVM_LOW
  • UVM_NONE

Фактическим отчетом можно управлять с помощью аргумента командной строки, например, + UVM_VERBOSITY = UVM_LOW

(1) Есть ли способ получить пользователь-определенные уровни детализации (или хотя бы псевдонимы)?

  • Как, например, "UVM_INFO" с тем же приоритетом, что и UVM_NONE

(2) Можно ли создать полностью определенный пользователемуровень детализации, с другой приоритет?

  • Скажите что-нибудь между UVM_NONE и UVM_LOW

  • И как управлять такими вещами из CLI ??

Причина этого в том, что даже с UVM_LOW некоторые коммерческие VIP все еще довольно многословны. Если возможно, я бы хотел иметь "свой уровень" для некоторых элементов тестового стенда ...

...