Прошить / запустить Altera Cyclone IV с OpenOCD - PullRequest
1 голос
/ 29 октября 2019

Я хотел бы запустить IP Altera Cyclone IV с OpenOCD. Независимо от того, какой файл мне нужен от четверки, но выполнение должно быть сделано OpenOCD. В настоящее время я пытаюсь сделать это с мягким файлом. Тот, который использует quartus для программиста.

Дополнительные подсказки для его прошивки также приветствуются.

Является ли файл .sof правильным для использования с openbcd?

Моя доска - это «Учебная плата FP4 для обучения Altera Cyclone IV EP4CE6E22C8N и USB Blaster Programmer» [zrTech]

В Quartus эта конфигурация работает иногда для ее выполнения (файл soft), но не для всехвремя. Но когда он начал работать, он продолжает делать это: enter setup in quartus Это то, что я сейчас собрал, что, кажется, хорошо (кроме комментариев):

altera_ep4ce6e22.cfg:

interface usb_blaster
usb_blaster_lowlevel_driver ftdi
transport select jtag
set CHIPNAME ep4ce6mb
set FPGA_TAPID 0x020f10dd

jtag newtap $CHIPNAME tap -irlen 10 -ircapture 0x01 -irmask 0x3 -expected-id $FPGA_TAPID

##no errors but not sure about those 
pld init 
init

## Some stuff I tried with the errors of the results ###
#pld load 0 project.sof  ###Error: invalid subcommand "load 0 project.sof"
#program project.sof ###Error: ** Unable to reset target **

В этом состоянии выше это вывод, который я получаю (команда ждет там - он не возвращает):

###: openocd -f altera_ep4ce6e22.cfg 
Open On-Chip Debugger 0.10.0
Licensed under GNU GPL v2
For bug reports, read
        http://openocd.org/doc/doxygen/bugs.html
Warn : Adapter driver 'usb_blaster' did not declare which transports it allows; assuming legacy JTAG-only
Info : only one transport option; autoselect 'jtag'
Warn : Transport "jtag" was already selected
Info : usb blaster interface using libftdi
Info : This adapter doesn't support configurable speed
Info : JTAG tap: ep4ce6mb.tap tap/device found: 0x020f10dd (mfg: 0x06e (Altera), part: 0x20f1, ver: 0x0)
Info : 'pld init' has already been called
Warn : gdb services need one or more targets defined

Любая идея, что я должен сделатьчтобы сделать эту работу?

Спасибо за любую помощь.

Ответы [ 2 ]

0 голосов
/ 30 октября 2019

Мой дополнительный ответ для всех, кто стремится сделать то же самое:

Как Дэвид уже ответил, вам нужны файлы SVF: и это мой полный файл для него, если он вам нужен. В настоящее время quartus отказывается его программировать, но openocd прекрасно работает с этим файлом. Он создается диалогом программиста в меню файлов. Далее я ищу возможность создать его с помощью make-файла, я где-то уже видел это в Makefile.

(Источник: f32c на Github )

Оболочка:

openocd -f altera_ep4ce6e22.cfg 

Оба соответствующих файла находятся в каталоге выполнения.

мой новый altera_ep4ce6e22.cfg:

interface usb_blaster
usb_blaster_lowlevel_driver ftdi
set CHIPNAME ep4ce6mb
set FPGA_TAPID 0x020f10dd

jtag newtap $CHIPNAME tap -irlen 10 -ircapture 0x01 -irmask 0x3 -expected-id $FPGA_TAPID

init
scan_chain
svf -tap $CHIPNAME.tap project.svf ###<<<svf file by quartus programmer same
exit
0 голосов
/ 30 октября 2019

Насколько я знаю, OpenOCD не поддерживает непосредственное программирование файлов sof (это проприетарный формат Altera).

Вместо этого генерируйте файл SVF из Quartus (см. * 1005). * и запрограммируйте ее командой OpenOCD svf.

...