Если заявление в для л oop VHDL - PullRequest
0 голосов
/ 09 апреля 2020

Я хочу сделать для l oop для 8 входов и оператора if. Моя цель - найти минимум этих 8 портов. Я знаю, в чем заключается ошибка, но я хочу сделать (Ι-1), когда (i ) принять значение 7. Есть идеи? if (a_unss (i)

LIBRARY ieee;
USE ieee.std_logic_1164 .all;
USe ieee.numeric_std .all;
---------------------------------------

ENTITY bitmin IS
generic
(     
size: integer            :=8

);
PORT
(
        A0,A1,A2,A3,A4,A5,A6,A7 : IN UNSIGNED (size-1 downto 0);

        MinOut:out  UNSIGNED (size-1 downto 0)
);     
END Entity;
-------------------------------------------------------------------------


ARCHITECTURE compare OF bitmin IS

type a_uns is array (0 to 7) of unsigned(7 downto 0);
signal a_unss:a_uns;



begin
        a_unss(0)<=(A0);
        a_unss(1)<=(A1);
        a_unss(2)<=(A2);
        a_unss(3)<=(A3);
        a_unss(4)<=(A4);
        a_unss(5)<=(A5);
        a_unss(6)<=(A6);
        a_unss(7)<=(A7);

process(a_unss) 


begin
MinOut<="00000000";
for i in 0 to 7 loop



              if (a_unss(i)<a_unss(i+1))and (a_unss(i)<a_unss(i+1)) and (a_unss(i)<a_unss(i+1)) and (a_unss(i)<a_unss(i+1))and (a_unss(i)<a_unss(i+1)) and (a_unss(i)<a_unss(i+1)) and (a_unss(i)<a_unss(i+1)) then
                     MinOut<=a_unss(i);


        end if;
    end loop;
end process;
END compare;

Ошибка: ошибка (10385): ошибка VHDL в bitmin.vhd (48): значение индекса 8 находится вне диапазона (от 0 до 7) объекта "a_unss"

Ошибка (10658): ошибка оператора VHDL в bitmin.vhd (48): не удалось оценить вызов оператора "" <"" Ошибка (10658): ошибка оператора VHDL в bitmin.vhd (48): не удалось оценить вызов операторы "" и "" Ошибка (12153): не удается разработать иерархию пользователей верхнего уровня. Ошибка: анализ и синтез Quartus Prime не удалось. 4 ошибки, 1 предупреждение Ошибка: пиковая виртуальная память: 4826 мегабайт Ошибка: обработка завершена: четверг апреля 09 19:39:04 2020 Ошибка: истекшее время: 0 <code>enter code here 0: 00: 17 Ошибка: общее время процессора (на всех процессорах): 00: 00: 43

Ответы [ 2 ]

1 голос
/ 11 апреля 2020

Как уже отмечали другие, индекс for-l oop выходит за пределы длины массива. Вам также необходимо составить цепочку минимумов. А битовая ширина в архитектуре сравнения должна зависеть от универсального c SIZE.

В версии 1 ниже используется одна длинная цепочка.

В версии 2 ниже две половины используются цепочки, которые дают более короткую общую задержку распространения.

В версии 3 ниже используется древовидная структура, которая дает самую короткую общую задержку распространения.

Версия 1 - Одна длинная цепь

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;

entity BitMin is
    generic
    (
        SIZE: integer := 8
    );
    port
    (
        a0, a1, a2, a3, a4, a5, a6, a7: in unsigned(SIZE - 1 downto 0);

        minout: out unsigned(SIZE - 1 downto 0)
    );
end entity;

architecture Compare of BitMin is

    subtype TBits is unsigned(SIZE - 1 downto 0);  -- Changed TByte to TBits because the bit width is dependent upon the generic SIZE.

    type TBitsArray is array(0 to 7) of TBits;

    signal inputs: TBitsArray;
    signal min_chain: TBitsArray;

    function Minimum(a, b: TBits) return TBits is
    begin
        if a < b then
            return a;
        end if;
        return b;
    end function;

begin
    inputs <= ( a0, a1, a2, a3, a4, a5, a6, a7 );

    -- Version 1 (one long chain)
    process(inputs, min_chain)
    begin
        min_chain(0) <= inputs(0);  -- Assume the first element in the array is the minimum.

        for i in 1 to 7 loop  -- Cycle through the remaining items to find the minimum.
            min_chain(i) <= Minimum(min_chain(i - 1), inputs(i));
        end loop;
        minout <= min_chain(7);
    end process;

end Compare;

Версия 2 - Две цепочки половинной длины

    -- Version 2 (two half-length chains: 0..3 and 7..4)
    process(inputs, min_chain)
    begin
        min_chain(0) <= inputs(0);  -- Assume the first element in the array is the minimum.
        min_chain(7) <= inputs(7);  -- Assume the last element in the array is the minimum.

        for i in 1 to 3 loop  -- Cycle through the remaining items to find the minimum.
            min_chain(i) <= Minimum(min_chain(i - 1), inputs(i));  -- Work forwards from element 1.
            min_chain(7 - i) <= Minimum(min_chain(7 - i + 1), inputs(7 - i));  -- Work backwards from element 6.
        end loop;
        minout <= Minimum(min_chain(3), min_chain(4));  -- Find the minimum of the two chains.
    end process;

Версия 3 - Дерево

Tree

    -- Version 3 (tree structure)
    process(inputs)
        constant NUM_INPUTS: natural := inputs'length;
        constant NUM_STAGES: natural := natural(ceil(log2(real(NUM_INPUTS))));
        type TTree is array(0 to NUM_STAGES) of TBitsArray;  -- This declares a matrix, but we only use half of it (a triangle shape). The unused part will not be synthesized.
        variable min_tree: TTree;
        variable height: natural;
        variable height_int: natural;
        variable height_rem: natural;
        variable a, b: TBits;
    begin
        -- Stage 0 is simply the inputs
        min_tree(0) := inputs;
        height := NUM_INPUTS;

        for i in 1 to NUM_STAGES loop

            -- Succeeding stages are half the height of the preceding stage.
            height_int := height / 2;
            height_rem := height rem 2;  -- Remember the odd one out.

            -- Process pairs in the preceding stage and assign the result to the succeeding stage.
            for j in 0 to height_int - 1 loop
                a := min_tree(i - 1)(j);
                b := min_tree(i - 1)(j + height_int);
                min_tree(i)(j) := Minimum(a, b);
            end loop;

            -- Copy the odd one out in the preceding stage to the succeeding stage
            if height_rem = 1 then
                a := min_tree(i - 1)(height - 1);
                min_tree(i)(height_int) := a;
            end if;

            -- Adjust the ever-decreasing height for the succeeding stage.
            height := height_int + height_rem;
        end loop;

        -- Get the value at the point of the triangle which is the minimum of all inputs.
        minout <= min_tree(NUM_STAGES)(0);
    end process;

Test Bench

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity BitMin_TB is
end entity;

architecture V1 of BitMin_TB is

    constant SIZE_TB: natural := 8;

    component BitMin is
        generic
        (
            SIZE: integer := 8
        );
        port
        (
            a0, a1, a2, a3, a4, a5, a6, a7: in unsigned (SIZE - 1 downto 0);

            minout: out unsigned (SIZE - 1 downto 0)
        );
    end component;

    signal a0_tb, a1_tb, a2_tb, a3_tb, a4_tb, a5_tb, a6_tb, a7_tb: unsigned(SIZE_TB - 1 downto 0);

    signal minout_tb: unsigned(SIZE_TB - 1 downto 0);

begin

    DUT: BitMin
        generic map
        (
            SIZE => SIZE_TB
        )
        port map
        (
            a0 => a0_tb,
            a1 => a1_tb,
            a2 => a2_tb,
            a3 => a3_tb,
            a4 => a4_tb,
            a5 => a5_tb,
            a6 => a6_tb,
            a7 => a7_tb,

            minout => minout_tb
        );

    process
    begin
        wait for 10 ns;
        a0_tb <= "00000100";
        a1_tb <= "00001000";
        a2_tb <= "00010000";
        a3_tb <= "00100000";
        a4_tb <= "01000000";
        a5_tb <= "10000000";
        a6_tb <= "00000010";
        a7_tb <= "00000001";
        wait for 10 ns;
        --std.env.stop;
        wait;
    end process;

end architecture;

Сравнение синтеза

Все три версии синтезируют с одинаковым количеством элементов logi c, но самая быстрая версия 3.

RTL версии 1 - одна длинная цепь

enter image description here

RTL версии 2 - две цепи половинной длины

enter image description here

Версия 3 RTL - дерево

enter image description here

0 голосов
/ 09 апреля 2020
if (a_unss(i)<a_unss(i+1))and (a_unss(i)<a_unss(i+1)) and (a_unss(i)<a_unss(i+1)) and (a_unss(i)<a_unss(i+1))and (a_unss(i)<a_unss(i+1)) and (a_unss(i)<a_unss(i+1)) and (a_unss(i)<a_unss(i+1)) then

Индексирование a_unss(i+1) вызывает проблему, когда вы перебираете формы от 0 до 7. Когда i достигает 7, i+1 равно 8, что превышает границы a_unss. Вот что говорит сообщение: Error (10385): VHDL error at bitmin.vhd(48): index value 8 is outside the range (0 to 7) of object "a_unss".

РЕДАКТИРОВАТЬ

Предложение обновить код:

LIBRARY ieee;
USE ieee.std_logic_1164 .all;
USe ieee.numeric_std .all;
---------------------------------------

ENTITY bitmin IS
generic
(     
size: integer            :=8

);
PORT
(
        A0,A1,A2,A3,A4,A5,A6,A7 : IN UNSIGNED (size-1 downto 0);

        MinOut:out  UNSIGNED (size-1 downto 0)
);     
END Entity;
-------------------------------------------------------------------------


ARCHITECTURE compare OF bitmin IS

type a_uns is array (0 to 7) of unsigned(7 downto 0);
signal a_unss:a_uns;
signal MinOut_tmp : UNSIGNED (size-1 downto 0) := 0;
signal done_flag: STD_LOGIC := '0';


begin
        a_unss(0)<=(A0);
        a_unss(1)<=(A1);
        a_unss(2)<=(A2);
        a_unss(3)<=(A3);
        a_unss(4)<=(A4);
        a_unss(5)<=(A5);
        a_unss(6)<=(A6);
        a_unss(7)<=(A7);

process(a_unss) begin
    done_flag <= '0';

    for i in 0 to 7 loop
        if (a_unss(i) < MinOut_tmp) then
            MinOut_tmp<=a_unss(i);
        end if;
    end loop;

    done_flag <= '1';
end process;
END compare;


process(done_flag) begin
    if (done_flag == '1') then
        MinOut <= MinOut_tmp;
    end if;
end process;
...