команда modelsim для выбора конкретного теста в Verilog testbench - PullRequest
1 голос
/ 04 апреля 2020

У меня есть 4 тестовых шаблона и все они написаны внутри оператора case в testbench. Как вызвать каждый тест за раз через командную строку во время симуляции? Дайте мне знать аргумент командной строки для выбора одного случая тестового стенда за раз во время симуляции. Спасибо

1 Ответ

1 голос
/ 04 апреля 2020

Я бы использовал для этого «define» или $ test $ plusargs и $ value $ plusargs.

Вы можете определить значение значения в командной строке, используя аргумент + define +.

+define+TEST_TO_RUN="4"

Теперь в своем коде вы можете получить значение, используя:

case(`TEST_TO_RUN)
0 : ...
4 : ...
default: // default test or giving error message and stop 
endcase

Но вы должны пересобрать код. Вы также можете установить значение в коде, но определение командной строки всегда переопределяет это.

Альтернативное использование $ test $ plusargs и $ value $ plusargs.

Вы также можете найти информацию обо всем этом здесь

...