Проблема с получением примера кода cocotb для работы - PullRequest
0 голосов
/ 04 апреля 2020

Я запустил несколько примеров cocotb, но не самый упоминаемый (endian_swapper).

Любой совет приветствуется. Заранее спасибо!

make SIM=ghdl TOPLEVEL_LANG=vhdl

...

0.00ns CRITICAL cocotb.regression                         regression.py:137  in initialise                      Failed to import module test_endian_swapper_hal: 'return' with argument inside generator (test_endian_swapper_hal.py, line 67)
....


SyntaxError: 'return' with argument inside generator
     0.00ns ERROR    cocotb.gpi                                  gpi_embed.c:425  in embed_sim_init                  cocotb initialization failed - exiting
     0.00ns ERROR    cocotb.scheduler                            __init__.py:198  in _sim_event                      Failing test at simulator request before test run completion: Simulator shutdown prematurely

1 Ответ

1 голос
/ 04 апреля 2020

Помогает ли вам это предложение ?: https://github.com/cocotb/cocotb/issues/1539#issuecomment -605706516

Если вы чувствуете себя немного более авантюрным, установите Cocotb Master там, где это исправлено (одна минута go: ).

...