ОШИБКА ПОКАЗЫВАЕТ, что на фабрике не зарегистрирован ни один тестовый класс, и не найден запрашиваемый тест из вызова run_test (d_test) - PullRequest
0 голосов
/ 28 апреля 2020

здесь тестовый класс dff и top_module для dff в uvm, но не запускающий тестовый класс и, следовательно, никаких дополнительных компонентов

я также добавил интерфейс в тестовый класс из примера, но все еще показываю ошибка

также удалена несущественная часть top_module

   class d_test extends uvm_test;
  `uvm_component_utils(d_test)

      function new(string name = "d_test", uvm_component parent = null);
    super.new(name,parent);
  endfunction

  d_env e0;
  d_seq se;

  virtual function void build_phase(uvm_phase phase);
    super.build_phase(uvm_phase phase);

    e0 = d_env::type_id::create("e0",this);
    se = d_seq::type_id::create("se",this);    
  endfunction

  virtual task run_phase(uvm_phase phase);
    super.run_phase(uvm_phase phase);

    seq.start("se");
    assert(se.randomize());

  endtask
endclass

`include "uvm_macros.svh"

import uvm_pkg::*; 

module dff_top;

  initial begin
    uvm_config_db#(virtual dff_if)::set(null,"*","vif",d_vif);  
    run_test("d_test");
  end
endmodule
...