Проблема компиляции VCS - PullRequest
       29

Проблема компиляции VCS

0 голосов
/ 30 апреля 2020

Кажется, VCS использует g ++ для компиляции кода SV / Verilog, но при ссылке возникает ошибка. VCS упомянул, что должен добавить опцию -fPI C, но куда мне добавить? Кто-нибудь может мне помочь? Заранее спасибо.

g ++ -o ../simv -Wl, -rpath-link =. / -Wl, -rpath = '$ ORIGIN' / simv.daidir / -Wl, -rpath = ./simv.daidir/ -Wl, -rpath = '$ ORIGIN' / simv.daidir // scsim.db.dir -rdynami c /home/xwl/tools/synopsys/vcs_2016.06/linux64/lib/vpdlogstub .o uvm_dpi.o amcQwB.o _prev_archive_1.so _csrc0.so SIM_l.o _csrc0.so rmapats_mop.o rmapats.o rmar.o rmar_llvm_0_1.o rmar_llvm_0_0.o
/ho//s6 /linux64/lib/libzerosoft_rt_stubs.so /home/xwl/tools/synopsys/vcs_2016.06/linux64/lib/libvirsim.so /home/xwl/tools/synopsys/vcs_2016.06/linux64/lib/liberrorinf.so / /xwl/tools/synopsys/vcs_2016.06/linux64/lib/libsnpsmalloc.so
/home/xwl/tools/synopsys/vcs_2016.06/linux64/lib/libvcsnew.so / home / xwl / tools /synopsys/vcs_2016.06/linux64/lib/libsimprofile.so /home/xwl/tools/synopsys/vcs_2016.06/linux64/lib/libuclinative.so
-Wl, -whole-archive / home / xwl / tools /synopsys/vcs_2016.06/linux64/lib/libvcsucli.so -Wl, -no-whole-archive ./../simv.daidir/vc_hdrs.o / home /xwl/tools/synopsys/vcs_2016.06/linux64/lib/vcs_save_restore_new.o -ldl -l c -lm -lpthread -ldl / usr / bin / ld: /home/xwl/tools/synopsys/vcs_2016.06 /linux64/lib/vcs_save_restore_new.o: перемещение R_X86_64_32S на неопределенный символ `_sigintr 'нельзя использовать при создании объекта P IE; перекомпиляция с -fPI C / usr / bin / ld: окончательная ссылка не удалась: непредставительный раздел на выходе collect2: ошибка: ld вернул 1 состояние выхода

...