Программирование VHDL на Linux? - PullRequest
17 голосов
/ 11 июня 2010

Кто-нибудь знает хорошую среду для программирования VHDL и его моделирования (не важно, Xilinx или Altera) с помощью Linux?

Ответы [ 7 ]

10 голосов
/ 11 июня 2010

Вы застряли с инструментами любого из поставщиков, которые в лучшем случае не очень хороши в Linux (хотя мой опыт работы с утилитами Alteras несколько лучше, чем с ISE).

Однако, если все, что вам нужно, это запуститьваш тестовый стенд, на самом деле ничего не синтезировать, ghdl будет полезен.

8 голосов
/ 09 июля 2010

Как упоминал Арпан (почти), каждый симулятор VHDL поддерживается Linux, но обычно они очень дороги.Лучше всего использовать один из следующих вариантов:

  • Веб-издание Altera DS (только что добавлена ​​поддержка Linux), которое поставляется с бесплатной версией ModelSim.
  • Symphony EDASonata 3.1 доступна как бесплатная версия с ограниченной поддержкой.
  • Xilinx ISE, которая поставляется с собственным симулятором Xilinxs: ISim.
3 голосов
/ 13 марта 2018

Просто добавьте обновление к этому: Xilinx Vivado был выпущен с поддержкой Linux для ubuntu / debian и (я считаю) redhat / centos.

Программное обеспечение бесплатно для ряда небольших устройств и более распространенных IP-ядер и включает в себя в основном приличный симулятор, который интегрирован в инструменты, а также является бесплатным.

У него довольно крутая кривая обучения, как и у большинства этих инструментов, но с точки зрения программного обеспечения в этой отрасли, они действительно проделали хорошую работу с ним.

3 голосов
/ 13 июня 2010

Если вы предпочитаете инструменты с открытым исходным кодом, посмотрите http://www.cliftonlabs.com/vhdl/savant.html - vhdl не имеет слишком много опций в пространстве FOSS в настоящее время. Если вы выбираете инструменты для поставщиков, все поставщики будут использовать версию своих симуляторов для Linux. Modelsim является личным фаворитом благодаря легким для отладки функциям, которые он использует.

В среде кодирования я обычно использую VIM с включенными средствами проверки синтаксиса vhdl. У Sigasi, по-видимому, есть коммерческая среда разработки с такими модными функциями, как автоматическое завершение кода, проверка синтаксиса на лету и т. Д.

Дайте нам знать, если у вас есть дополнительные вопросы.

Арпан

2 голосов
/ 23 апреля 2016

GHDL является хорошим симулятором для VHDL и даже работает с некоторыми сторонними библиотеками (например, Xilinx UNISIMS).

Если вы объедините его с gtkwave, у вас должен быть полноценный симулятор VHDL исредство просмотра формы волны.

GHDL также поддерживает запись в сигналы .GHW, которые являются фантастическими для небольших симуляций, поскольку они (часто) включают в себя все сигналы в вашем проекте.

Я использовал их со стандартным RTL-моделированием, а также для моделирования после синтеза с Xilinx UNISIM.

Оба должны быть доступны в вашем дистрибутиве Linux.Если нет, вы можете получить их из:

GHDL: http://ghdl.free.fr/

GtkWave: http://gtkwave.sourceforge.net/

2 голосов
/ 04 ноября 2015

Теперь есть QUCS (http://qucs.sourceforge.net/). Он также имеет открытый исходный код и основан на FreeHDL.

. Он может использоваться для проектирования и моделирования цепей с использованием графического интерфейса.имитировать VHDL. Хотя для этого вы также должны спроектировать компоненты схемы в графической области.

Это видео ясно показывает это: https://www.youtube.com/watch?v=-RrQlzLsf18

Я использую Linux-ppa на Debian Jessieработает нормально.

2 голосов
/ 16 октября 2015

Для кодирования vhdl Emacs с vhdl-mode является обязательным. Вы можете использовать расширенные функции редакции, просматривать структуру проектов, управлять архитектурами и конфигурациями. Вы можете настроить несколько симуляторов.

Я использую модели из веб-издания Altera Quartus. Будьте осторожны, если вы используете 64-битный Linux, эта версия modelsim только 32-битная.

...