Как я могу использовать модуль внутри другого модуля? - PullRequest
0 голосов
/ 09 февраля 2012

Я пытаюсь создать простой 8-битный комплемент 2.Вот мой код:

twos_complement_of_8bits.v

//`include "complementor.v"

module twos_complement_of_8bits(output [7:0] out, input [7:0] in);
integer i;
initial
  begin
    for(i = 0; i <= 7; i = i + 1)
        complementor C(out[i], in[i]);
   end
   assign out = out + 1;
endmodule

Я получил ошибку в этой строке:

complementor C(out[i], in[i]);
Syntax error near 'C' found.

Какя могу это исправить?

1 Ответ

2 голосов
/ 09 февраля 2012

Я думаю, что вы можете удалить свой complementor модуль, а затем изменить twos_complement_of_8bits следующим образом:

module twos_complement_of_8bits (output [7:0] out, input [7:0] in);
    assign out = ~in + 1;
endmodule

Если это не дает желаемого результата, пожалуйста, покажите некоторые ожидаемые выходные значения.

В более сложных ситуациях вы можете разместить массивы экземпляров модулей или использовать блок generate.

ОБНОВЛЕНИЕ : Вот пример использованияgenerate блок:

module twos_complement_of_8bits (output [7:0] out, input [7:0] in);
    wire [7:0] out_ones;
    genvar i;
    generate
        for (i=0; i<=7; i=i+1) begin
            complementor C[i] (out_ones[i], in[i]);
        end
    endgenerate
    assign out = out_ones + 1;
endmodule
...