Реализация двух миганий после нажатия кнопки. Verilog HDL - PullRequest
0 голосов
/ 12 апреля 2020

Я видел только коды для мигающих светодиодов, которые основаны на @always(clk).

Если нажата какая-либо из 6 кнопок pu sh, то я хочу, чтобы код дважды мигал на 6 светодиодов, прежде чем выполнять еще какой-то код .

Я полагаю, что я поставил блик l oop в @always(input), но сработает ли это?

Как это можно реализовать? Код FPGA пока

`module FPGA
(
 input clock, 
 input [5:0] buttonpress, output [5:0] led );

 reg [3:0] counter; // 4bit count
 reg [1:0] repcount; // 2bit count 
 reg nxtstage = 0; 

 always @(buttonpress) 

 //*Somehow implement the clock to start the counter* 

 counter <= counter+1; 
 if (counter == 4'b1111) 
 led = ~led; 

 end
 always @(posedge led) //After each blink

 begin 
 repcount = repcount + 1; 

 if (repcount ==2) //Only 2 blinks
 compare = ~nxtstage;
 end
 always @(posedge nxtstage) 2 repeats move to next stage`

 ...
...