VCD-дамп для симуляции VHDL через modelsim. КАК? - PullRequest
5 голосов
/ 05 августа 2011

Это первый раз, когда я пытаюсь сгенерировать VCD, и у меня возникают некоторые проблемы.

У меня есть испытательный стенд с именем bench_minimips.vhdl, который содержит сущность sim_minimips.Я хочу смоделировать его и получить VCD из него.

Я набираю следующую команду в оболочке Modelsim:

vsim work.sim_minimips
vcd file myvcd1.vcd
vcd add -file bench_minimips.vhd/*
run

, но если я открою myvcd1.vcd в пустом файле.Что я должен сделать, чтобы создать дамп?

Я попытался, а также sudgested в другом форуме команда:

wlf2vcd -o myvcd2.vcd vsim.wlf

, но генерируется ошибка.

Ядействительно потерял понимание, потому что все сайты, которые я нахожу, говорят вам использовать TCL, сгенерированный altera или какой-либо другой компанией, и у меня нет их содержимого, чтобы посмотреть.

Кто-то знает, что мне делать?

Бест, Стефано

1 Ответ

5 голосов
/ 05 августа 2011

Параметр -file для vcd add используется не для указания сигналов, а для имени VCD (если вы создали несколько).

Вместо этого вы хотите добавить объекты втвоя симуляцияНапример:

vcd file myvcd1.vcd
vcd add -r /sim_minimips/*
...