предупреждающее сообщение в подсказке - PullRequest
1 голос
/ 25 апреля 2011

Я пытаюсь смоделировать стенд. Я не получаю сигналы, также я получаю следующее предупреждение в приглашении. Это из-за = предупреждает, что мой код не симулирует?

** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
#           File in use by:   Hostname:   ProcessID: 0
#           Attempting to use alternate WLF file "./wlftazxa4k".
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
#           Using alternate file: ./wlftazxa4k
run

Я также включаю свой тестовый стенд следующим образом:

    module dec_tb;
    reg [63:0] FROM_IF_ID;
    reg CLK;
    wire [117:0] TO_ID_HMIC;
    integer k=0;
    inst_decode id(.from_if_id(FROM_IF_ID),.clk(CLK),.to_id_hmic(TO_ID_HMIC));

    initial 
     begin
       $monitor($time,"clk=%b, fifid=%b, tidhm=%b",CLK,FROM_IF_ID,TO_ID_HMIC);
       $display("qf");
       CLK= 0;
       FROM_IF_ID[35:32]=4'b1100; 
       FROM_IF_ID[63:36]=28'b0000_10000_00100_01000_00010_0001;
     end

    always 
     begin
     #10 CLK= ~CLK;
     end
    always @(posedge CLK)
      begin
      $display (" TO_ID_HMIC= %b", TO_ID_HMIC);
      FROM_IF_ID[k] =~FROM_IF_ID[k]; 
      k=k+1;
      #500 $finish;
      end
    endmodule

Ответы [ 3 ]

3 голосов
/ 25 апреля 2011

Если это единственное сообщение, то симуляция прошла, и вы можете найти волны в указанном альтернативном файле (wlftazxa4k).

Если вы хотите решить проблему, чтобы волны появлялись в vsim.wlf, вот несколько вещей, которые нужно попробовать:

  • Убедитесь, что у вас нет запущенных модельных процессов,
  • Убедитесь, что у вас нет vsim.wlf открытого в средстве просмотра формы сигнала
  • Удалить vsim.wlf вручную и повторно запустить
0 голосов
/ 07 января 2013

Ваш твой тест:

  1. Запустите симуляцию
  2. Выйдите из симуляции с помощью графического интерфейса или команды: quit -sim
  3. Перейти к шагу 1 и повеселиться.

Вы можете удалить файл кэша, созданный modelsim.

0 голосов
/ 22 апреля 2012

У меня тоже была эта проблема. Как оказалось, каталог, в котором находились мои файлы vsim, был полон (школьный раздел, 600 Мбайт свободного места). После очистки некоторых старых файлов, которые у меня были, программа работала нормально.

...