Я использую расширитель для клавиатуры 4x4, используя FSM, и 6-я строка этого кода дает мне защелку (в state <= next_state
)
process(clk,reset)
begin
if reset = '1' then
state <= Wait0;
elsif rising_edge(clk) then
state <= next_state;
if next_state = Counting then
count <= count + 1;
else
count <= 0;
end if;
end if;
end process;
Самое смешное, что у меня есть этото же самое утверждение в другом компоненте, и это не дает мне ошибку.Я назначаю переменную next_state
в другом процессе.В чем может быть проблема?