Компиляция в Modelsim VHDL - PullRequest
       27

Компиляция в Modelsim VHDL

0 голосов
/ 05 марта 2019

Я пытался запустить код VHDL, но эта ошибка (vcom-11) продолжает появляться.

vcom -работа -2002-явная -vopt -stats = нет /nas/ei/home/ga94mel/Documents/VHDL_C/test_bench.vhd Модель Технология ModelSim SE-64 vcom 10.4c Компилятор 2015.07 19 июля 2015

- Загрузка пакета СТАНДАРТ

- Загрузка пакета TEXTIO

- загрузка пакета std_logic_1164

- загрузка пакета NUMERIC_STD

- Компилирующая сущность MEM4096x12TEST

- Компиляция конфигурации MEM4096x12TESTCONF

** Ошибка: (vcom-11) Не удалось найти work.mem4096x12test (ТБ).

** Ошибка: /nas/ei/home/ga94mel/Documents/VHDL_C/test_bench.vhd(10): (vcom-1537) Спецификация блока "TB" не является именем архитектуры.

** Ошибка: /nas/ei/home/ga94mel/Documents/VHDL_C/test_bench.vhd(11): выход из компилятора VHDL

Вот скрипт:

    LIBRARY IEEE;
    USE IEEE.std_logic_1164.all;
    use IEEE.numeric_std.all;
    ENTITY MEM4096x12TEST IS
    end  MEM4096x12TEST ;

    --Configuration for Component Instantiation 

    configuration MEM4096x12TESTCONF of MEM4096x12TEST is
    for TB
     for UUT: MEM4096x12 use 
        entity WORK.RAM4096x12(behavioral)
         port map(
          w_en => w_en_C,
          addr => addr_C,
          data_in => data_in_C,
          data_out => data_out_C);
      end for;
    end for;
    end MEM4096x12TESTCONF; 

    architecture TB  of MEM4096x12TEST IS 
    Component MEM4096x12  
    PORT(
    w_en_C : in bit;
    addr_C : in bit_vector(11 downto 0);
    data_in_C: in bit_vector(11 downto 0);
    data_out_C: out  bit_vector (11 downto 0)
    );
    end Component ;
    Signal w_en_s :bit :='0';
    Signal addr_s, data_in_s, data_out_s  :  bit_vector(11 downto 0);
    begin 
    UUT: MEM4096x12 
    Port Map (w_en_C => w_en_s, 
        addr_C => addr_s,
        data_in_C => data_in_s , 
        data_out_C => data_out_s );
    w_en_s <= '1' after 5ns , '0' after 10 ns ; 
    data_in_s <="111111111111"  after 4 ns ; 
    addr_s <="000000000001"  after 4 ns , 
             "000000000010"  after 12 ns , 
             "000000000001"  after 20 ns ; 
    end TB ;

--Configuration for Entity Instantiation

configuration MEM4096x12TESTCONF of MEM4096x12TEST is
for TB
end For ; 
end MEM4096x12TESTCONF ;

architecture TB of MEM4096x12TEST_short IS 
Signal w_en_s :bit ; 
Signal addr_s,data_in_s , data_out_s  :  bit_vector(11 downto 0); 
begin 
UUT : entity Work.MEM4096x12(behavioral) 
port map ( w_en => w_en_s,
    addr => addr_s,
    data_in => data_in_s , 
    data_out => data_out_s); 
w_en_s <= '1' after 5ns , '0' after 10 ns ; 
data_in_s <= "111111111111"  after 4 ns ; 
addr_s <= "000000000001"  after 4 ns , 
          "000000000010"  after 12 ns , 
          "000000000001"  after 20 ns ; 
end TB ;

1 Ответ

0 голосов
/ 06 марта 2019

Конфигурация MEM4096x12TESTCONF должна следовать архитектуре.

...