инициализировать постоянный массив записей из другой константы в vhdl - PullRequest
1 голос
/ 17 июня 2020

Я пишу константы для стимула, и у меня возникла проблема с построением константы из других констант.

UPDATE: в приведенном ниже примере (предоставлено пользователем 1155120)

library ieee;
use ieee.std_logic_1164.all; 

package snippet_pkg is

type pixel is record
  x: std_logic_vector(3 downto 0);
  y: std_logic_vector(3 downto 0);     
end record;   

type array_pixel is array (natural range <>) of pixel;
constant array_1 : array_pixel(0 to 2) := 
  (0 => (x"0", x"0"),   
   1 => (x"1", x"1"),  
   2 => (x"2", x"2")  
  );
constant array_2 : array_pixel(0 to 3) := 
  (array_1'range => array_1,    
   3 => pixel'(x"3", x"3") 
);

constant element : pixel := (x => x"3", y => x"3");
constant array_3 : array_pixel(0 to 3) := array_1 & element;
constant array_4 : array_pixel(0 to 3) := array_1 & pixel'(x => x"3", y => x"3");

end package; 

library ieee; 
use ieee.std_logic_1164.all;

use work.snippet_pkg.all;

entity snippet_test is
end entity;

architecture foo of snippet_test is  
begin

PASSIVE: process  
begin  
  for i in 0 to 2 loop  
    report "array_1(" & integer'image(i) & ").x = " & to_string(array_1(i).x);
    report "array_1(" & integer'image(i) & ").y = " & to_string(array_1(i).y);
  end loop;
  for i in 0 to 3 loop  
    report "array_2(" & integer'image(i) & ").x = " & to_string(array_2(i).x);
    report "array_2(" & integer'image(i) & ").y = " & to_string(array_2(i).y);
 end loop;

wait;
end process; 
end architecture;

У меня есть массив записей, как показано ниже:

type pixel is record
  x  : std_logic_vector(3 downto 0);
  y  : std_logic_vector(3 downto 0); 
end record;

type array_pixel is array (natural range <>) of pixel;

, а затем я создаю из него константу следующим образом:

constant array_1 : array_pixel(0 to 2) :=
  (0 => (x"0" , x"0"),
   1 => (x"1" , x"1"),
   2 => (x"2" , x"2")
  );

Теперь я хочу построить еще один массив с большим index с использованием array_1 следующим образом:

constant array_2 : array_pixel(0 to 3) :=
  (0 to 2 => array_1,
   3 => (x"3" , x"3")
  );

, но я получаю эту ошибку в ModelSim:

fatal: (SIGSEGV) Плохой доступ к указателю. фатальный: vsimk выходит с кодом 211.

Я компилирую через VUnit. Это ошибка в ModelSim или неправильное кодирование VHDL?

UPDATE: ModelSim mentor graphi c не вызывает эту ошибку, но дает неправильные значения, как показано ниже:

# ** Note: array_1(0).x = 0000
# ** Note: array_1(0).y = 0000
# ** Note: array_1(1).x = 0001
# ** Note: array_1(1).y = 0001
# ** Note: array_1(2).x = 0010
# ** Note: array_1(2).y = 0010

# ** Note: array_2(0).x = ?(16) U ?(66) ?(9)
# ** Note: array_2(0).y = ?(164) U ?(76) 1
# ** Note: array_2(1).x = ?(208) ?(81) ?(147) 0
# ** Note: array_2(1).y = ?(85) ?(88) U X
# ** Note: array_2(2).x = ?(238) ?(255) ?(238) ?(255)
# ** Note: array_2(2).y = 0UUU
# ** Note: array_2(3).x = 0011
# ** Note: array_2(3).y = 0011 

правильный только последний индекс, а тот, который назначен 0 to 2 => array_1, неправильный.

...