VHDL: включая тип файла внутри структуры VHDL Record? - PullRequest
0 голосов
/ 03 июня 2019

В VHDL возможно ли включить тип файла из пакета textio в качестве элемента структуры записи? Я хочу иметь одну функцию под названием init (), чтобы открыть файл, сохранить обработчик в файл в структуре записи, а затем иметь другую функцию под названием next (), которая будет читать одну запись из дескриптора файла в записи и загружать данные в структуру записи из файла при каждом вызове next ().

Пример:

library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;

entity testbench is
end entity;


architecture sim of sim_apb is

    type rec_t is record
        file  F    :text;     --Produces a syntax error
                              --  composite type not allowed
        state      :natural; 
    end record;

    signal rec: rec_t 
begin

end architecture;

1 Ответ

2 голосов
/ 03 июня 2019

Когда я попытался удалить файл в вашем коде, я получил эту ошибку:

ОШИБКА: [VRFC 10-602] элементы типа файла не допускаются всоставные типы

Так что я думаю, что вы не можете использовать тип файла в записи.

Я не очень хорошо понял ваши потребности, но вы можете попробовать использовать строку, разрешенную взапись.

...