Как получить множественный ввод от пользователя VHDL - PullRequest
0 голосов
/ 14 апреля 2019

Я хочу прочитать 6-значное число от пользователя через клавиатуру, которая подключена к выводам GPIO платы FPGA.это часть моего кода:

signal kp_value :std_logic_vector( 3 downto 0);
signal kp_hit : std_logic ; 
type arr is array (23 downto 0) of std_logic_vector;    
signal num1 : arr; 
begin 
keypad_getInput: keypad port map(row, clk_50 , col , kp_hit, kp_value);


process(kp_hit)
begin 

if kp_hit ='1' then 

    num1 <= num1 & kp_value;
end if ;
end process;
seg_display: seven_segments port map(num1,sevenseg); 

Я хочу продолжать получать число от пользователя, пока оно не достигнет 24 бит, а затем отобразить его на шести из 7 сегментов

Я получил ошибки,может быть, неправильный способ хранения значений, не могли бы вы мне помочь

1 Ответ

0 голосов
/ 15 апреля 2019

Вы, вероятно, пропускаете биты, которые не хотите хранить в num, я предполагаю, что вы хотите сдвинуть влево

num1 <= num1(19 downto 0) & kp_value;
...